专利摘要:
本發明描述形成膜的前驅物及方法。有一形態中,提供一種具有式I的前驅物:XmR1nHpSi(NR2R3)4-m-n-p I 其中X係選自Cl、Br、I;R1係選自線性或分支C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10環狀烷基及C6-C10芳基;R2係選自線性或分支C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10環狀烷基及C6-C10芳基;R3係選自分支C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10環狀烷基及C6-C10芳基;m係1或2;n係0、1或2;p係0、1或2;及m+n+p係小於4,其中R2和R3係連結或沒連結以形成一環。
公开号:TW201319077A
申请号:TW101135160
申请日:2012-09-25
公开日:2013-05-16
发明作者:Manchao Xiao;Xinjian Lei;Mark Leonard O'neill;Bing Han;Ronald Martin Pearlstein;Haripin Chandra;Heather Regina Bowen;Agnes Derecskei-Kovacs
申请人:Air Prod & Chem;
IPC主号:C07F7-00
专利说明:
鹵化有機胺基矽烷前驅物及含有此前驅物的膜的沉積方法相關申請案之相互參照
本案請求以下的申請案在35 U.S.C.§119保護之下的優先權益:2011年9月27日申請的美國臨時申請案第61/539,717號,在此以引用的方式將其揭示內容的全文併入本文。
本發明係關於鹵化有機胺基矽烷前驅物及含有此前驅物的膜的沉積方法之領域。
本文描述多種前驅物,特別是可用於沉積介電膜,包括但不限於,含矽膜例如矽、非晶矽、結晶矽、單晶矽、多晶矽、氮化矽、氧化矽、摻碳的氧化矽、碳氮化矽及氧氮化矽膜類,的鹵化有機胺基矽烷前驅物。在又另一形態中,文中描述的是鹵化有機胺基矽烷前驅物的用途,其係於裝配積體電路裝置時用於沉積含有矽的含矽介電膜。在各個不同形態中,該等鹵化有機胺基矽烷前驅物可用於各式各樣以蒸氣為基礎的沉積製程中,其包括但不限於,原子層沉積(“ALD”)、化學氣相沉積(“CVD”)、循環式化學氣相沉積(“CCVD”)、電漿強化化學氣相沉積(“PECVD”)、低壓化學氣相沉積(“LPCVD”)及大氣壓力化學氣相沉積(“APCVD”)或液體為基礎的沉積製程,其包括但不限於,旋塗、浸塗、氣溶膠、噴墨、網版印刷或噴灑沉積或膜形成方法。
有數類化合物可作為含矽膜的前驅物例如,但不限於,氧化矽或氮化矽膜。這些適合作為前驅物的化合物的實例包括矽烷類、氯矽烷類、聚矽氮烷類、胺基矽烷類及疊氮化矽烷類。惰性載體氣體或稀釋劑例如,但不限於,氦、氫、氮,等等,也用以將該等前驅物輸送至反應艙。
美國專利第6,869,638號描述使用金屬二茂醯胺化合物及下式的胺基矽烷化合物在基材上形成閘極介電薄膜例如閘極介電質、高介電常數金屬氧化物及鐵電性金屬氧化物的CVD方法:HxSiAy(NR1R2)4-x-y,其中H為氫;x為0至3;N為氮;R1和R2各自為相同或不同而且係獨立地選自由H、芳基、全氟芳基、C1-C8烷基及C1-C8全氟烷基所組成的群組;及n為1至6。該‘638專利所述的胺基矽烷前驅物的實例包括雙(二乙基胺基)二氯矽烷及叁(二乙基胺基)氯矽烷。
CWO 2011/123792描述由胺基-金屬前驅物和鹵化金屬前驅物的組合形成含金屬-氮化物膜,較佳是由胺基矽烷前驅物和氯矽烷前驅物的組合形成含SiN膜,之以低溫、熱或電漿為基礎的ALD方法。該‘792申請案描述胺基矽烷前驅物,其包含具有式Cl4-xSi(NR’R”)x的胺基氯矽烷,其中x=2或3,R’和R”係獨立地選自H或烷基,而且R’和R”可連結以形成一環結構而且具有式R”’4-xSi(NR’R”)x的胺基烷基矽烷前驅物,其中x=1、2或3,R’和R”係獨立地選自H或烷基,R’和R”可連結以形成一環結構而且R”’係具有少於3個碳的烷基。
參考資料“Substitution of chlorine in silicon四chloride by dimethyl,diethylamino,and piperidino groups”,Breederveld等人,Research(London)5:537-9(1952)描述利用二烷基胺基逐步替換SiCl4中的原子以製造以下化合物之一或更多而合成二烷基胺基氯矽烷類:二乙基胺基三氯矽烷、二(二乙基胺基)二氯矽烷、三(二乙基胺基)氯矽烷或四(二乙基胺基)矽烷。製備六氫吡啶基三氯矽烷及二六氫吡啶基二氯矽烷使用類似的程序。
參考資料“Molecular structures of some (dimethylamino)halogenosilanes in the gas phase by electron diffraction and the crystal and molecular structures on mono- and di-chloro(dimethylamino)silane by x-ray diffraction at low temperatures”,Anderson等人,J.Chem.Soc.,(1987)描述(二甲基胺基)鹵矽烷類SiH2X(NMe2),其中X=Cl、Br或I。
參考資料“Chloroaminosilanes.I.Preparation of chloro(dimethylamino)hydrogen silanes”,Washburne等人,Inorg.Nucl.Chem.,5(1):17-19(1969)描述HSiCl2NMe2(I)、HSiCl(NMe2)2(II)和HSi(NMe2)3(III)的製備方法及這些化合物的相關化學性質。
參考資料“Preparation of β-cyanoethyltrichlorosilane using silylamine catalysts”,Pike等人,Journal of Organic Chemistry,27(6):21-90-92(1962),描述(CH3)3SiNR2類的甲矽烷基胺類,據顯示其藉由將三氯矽烷加於丙烯腈而成為定向性觸媒。此參考資料所述的甲矽烷基胺的實例是(1Pr2N)SiCl2H。
此技藝的需求在於提供可用以沉積包含矽的膜之前驅物,該等前驅物提供以下優點之一或多者:低加工溫度(例如,300℃或更低);相對好的沉積速率;組成均一性;及/或高純度。
本文描述的是鹵化有機胺基矽烷前驅物及使用此前驅物將包含矽的膜,例如,但不限於,矽膜類、氧化矽、摻碳的氧化矽、氮化矽、氧氮化矽、碳化矽、碳氮化矽及其組合,形成於基材的至少一部分上之方法。文中也揭示於待處理的物體,例如,舉例來說,半導體晶圓,上形成介電膜或塗層的方法。在文中所述的方法之一具體實施例中,於沉積艙中在該基材上產生氧化矽層的條件之下使用鹵化有機胺基矽烷前驅物、任意一或更多其他非鹵化有機胺基矽烷前驅物及氧化劑將包含矽及氧的層沉積於基材上。在文中所述的方法之另一具體實施例中,於沉積艙中在該基材上產生氮化矽層的條件之下使用鹵化前驅物、任意一或更多其他非鹵化有機胺基矽烷前驅物及含氮前驅物將包含矽及氮的層沉積於基材上。在又另一具體實施例中,文中所述的鹵化有機胺基矽烷前驅物也可作為供含金屬膜例如但不限於,金屬氧化物膜或金屬氮化物膜,用的摻雜劑。在此文中所述的方法中,使用具有文中所述的式I之鹵化有機胺基矽烷作為該等含矽前驅物之至少其一。
有一形態中,文中所述的鹵化有機胺基矽烷前驅物包含一種具有以下式I的矽前驅物:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環。在特定具體實施例中,式I中的R2和R3可連結在一起以形成一環。在其他具體實施例中,式I中的R2和R3沒連結在一起以形成一環。
在另一形態中,提供一種在基材的至少一表面上形成含矽膜之方法,其包含:將該基材的至少一表面提供於一反應艙中;及藉由選自化學氣相沉積製程和原子層沉積製程的沉積製程使用具有以下式I的鹵化有機胺基矽烷前驅物在該至少一表面上形成該含矽膜:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環。在式I之一特定具體實施例中,R2和R3可連結在一起以形成一環。在式I之另一具體實施例中,R2和R3沒連結在一起以形成一環。
在另一形態中,提供一種經由原子層沉積製程或循環式化學氣相沉積製程形成氧化矽膜之方法,該方法包含以下步驟:a.將基材提供於一反應器中;b.將選自以下式I所示的至少一鹵化有機胺基矽烷前驅物之至少一矽前驅物引進該反應器:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環;c.以洗淨氣體洗淨該反應器;d.將氧來源引進該反應器中;e.以洗淨氣體洗淨該反應器;及重複進行步驟b至e直到獲得想要的膜厚度。
在又另一形態中,提供一種使用CVD製程在基材的至少一表面上形成氧化矽膜之方法,其包含:a.將基材提供於一反應器中;b.將以下式I所示的至少一鹵化有機胺基矽烷前驅物引進該反應器:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環;及c.提供氧來源以於該至少一表面上沉積該氧化矽膜。
在另一形態中,提供一種經由原子層沉積製程或循環式化學氣相沉積製程形成氮化矽膜之方法,該方法包含以下步驟:a.將基材提供於一反應器中;b.將以下式I所示的至少一矽前驅物引進該反應器:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環;c.以洗淨氣體洗淨該反應器;d.將含氮來源引進該反應器中;e.以洗淨氣體洗淨該反應器;及重複進行步驟b至e直到獲得想要的氮化矽膜厚度。
在又另一形態中,提供一種使用CVD製程在基材的至少一表面上形成氮化矽膜之方法,其包含:a.將基材提供於一反應器中;b.將以下式I所示的至少一有機胺基矽烷前驅物引進該反應器:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環;及c.提供含氮來源,其中該至少一有機胺基矽烷前驅物與該含氮來源反應以於該至少一表面上沉積該膜。
在另一形態中,文中描述一種用於沉積介電膜之容器,該介電膜包含一或更多具有式I的鹵化有機胺基矽烷前驅物。在一特定具體實施例中,該容器包含至少一裝配適當閥及附件的可加壓容器(較佳由不銹鋼製成)以便能將一或更多前驅物輸送至該反應器供CVD或ALD製程之用。
在另一形態中,提供一種用於沉積介電膜的組合物,其包含:XmR1 nHpSi(NR2R3)4-m-n-pI其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環;及選自由所組成的群組的溶劑。醚、三級胺、腈、烷基烴、芳香族烴、三級胺基醚或其混合物。
使用鹵化有機胺基矽烷作為前驅物以形成化學計量及非化學計量的含矽膜例如,但不限於,矽、非晶矽、結晶矽、單晶矽、多晶矽、氧化矽、摻碳的氧化矽、氮化矽、氧氮化矽及碳氮化矽。也可使用這些前驅物,舉例來說,作為含金屬膜的摻雜劑。該等鹵化有機胺基矽烷前驅物典型為高純度揮發性液態前驅物化學藥品,其係蒸發並且以氣體形態輸送至沉積艙或反應器以經由CVD或ALD製程為半導體裝置沉積含矽膜。在其他具體實施例中,該等鹵化有機胺基矽烷用於以液態為主的沉積或膜形成法例如,但不限於,旋塗、浸塗、氣溶膠、噴墨、網版印刷或噴灑應用。用於沉積的前驅物材料的選擇取決於所欲產生的介電材料或膜。舉例來說,前驅物材料可針對其化學元素含量、其化學元素的化學計量比及/或在CVD之下形成所得的介電膜或塗層做選擇。該前驅物材料也可針對其他特性做選擇,例如成本、無毒性、處理特性、於室溫下維持液相的能力、揮發性、分子量及/或其他考量。在特定具體實施例中,文中所述的前驅物可藉由許多手段輸送至該反應器系統,較佳地使用裝配適合閥及附件的可加壓不銹鋼容器,以便讓液相前驅物輸送至該沉積艙或反應器。
比起非鹵化有機胺基矽烷,咸相信文中所述的鹵化有機胺基矽烷前驅物在化學氣相沉積,特別是循環式CVD沉積,或原子層沉積期間可提供對基材表面較好的反應性以經由在原位的觸媒反應形成Si-N-Si鏈結、Si-Si鍵、Si-O-Si鏈結而釋出有機胺及HCl,其接著結合而形成胺-鹽酸鹽。咸相信該等鹵化有機胺基矽烷前驅物比起習用矽前驅物例如四氯化矽或有機胺基矽烷類的一個特別優點是該鹵基和有機胺基在ALD或CCVD製程期間會與基材表面上的Si-OH或SiNH2反應以定住該等前驅物,由此促進含矽膜類的沉積。除了前述優點以外,在特定具體實施例例如使用循環式CVD、ALD或PEALD沉積方法沉積氧化矽或氮化矽膜的具體實施例中,文中所述的鹵化有機胺基矽烷前驅物可於較低沉積溫度,例如,於500℃或更低,於400℃或更低,或於300℃或更低沉積高密度材料。在其他具體實施例中,文中所述的前驅物可用於,舉例來說,介於約500℃至約800℃的溫度下之較高溫度的沉積。
有一形態中,提供以下式I所示之特定前驅物或鹵化有機胺基矽烷類:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環。在式I的鹵化有機胺基矽烷的特定具體實施例中,R2和R3可連結以形成一環。在式I的鹵化有機胺基矽烷的替代具體實施例中,R2和R3沒連結以形成一環。
在式I至III和整個描述中,該措辭“烷基”表示具有1至10或1至4個碳原子的線性或分支官能基。例示性烷基包括,但不限於,甲基、乙基、正丙基、異丙基、正丁基、異丁基、第二丁基、第三丁基、正戊基、異戊基、第三戊基、己基、異己基及新己基。在特定的具體實施例中,該烷基可具有一或更多附接於該烷基的官能基例如,但不限於,烷氧基、二烷基胺基或其組合。在其他具體實施例中,該烷基沒有一或更多附接於該烷基的官能基。該烷基可為飽和或,也可以,不飽和。在特定具體實施例中,該烷基可能有一或更多官能基例如,但不限於,烷氧基、二烷基胺基或其組合接附於彼。在其他具體實施例中,該烷基沒有一或更多接附於彼的官能基。
在式I至III和整個描述中,該措辭“環狀烷基”表示具有3至12或4至10個碳原子的環狀官能基。例示性環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。
在式I至III和整個描述中,該措辭“芳基”表示具有6至12個碳原子的芳香族環狀官能基。例示性芳基包括,但不限於,苯基、苯甲基、氯苯甲基、甲苯基及鄰-二甲苯基。
在式I至III和整個描述中,該措辭“烯基”表示具有一或更多碳-碳雙鍵並且具有2至12或2至6個碳原子的基團。例示性烯基包括,但不限於,乙烯基或烯丙基。
在式I至III和整個描述中,該措辭“炔基”表示具有一或更多碳-碳叁鍵並且具有2至12或2至6個碳原子的基團。
在式I至III和整個描述中,該措辭“烷氧基”表示連至一氧原子(例如,R-O)並且可具有1至12,或1至6個碳原子的烷基。例示性烷氧基包括,但不限於,甲氧基(-OCH3)、乙氧基(-OCH2CH3)、正丙氧基(-OCH2CH2CH3)及異丙氧基(-OCHMe2)。
在特定具體實施例中,在式I至III中的烷基、烯基、炔基、烷氧基及/或芳基的一或更多者可被取代或具有一或更多將,舉例來說,氫原子取而代之的原子或原子團。例示性取代基包括,但不限於,氧、硫、鹵素原子(例如,F、Cl、I或Br)、氮及磷。在其他具體實施例中,在式I中的烷基、烯基、炔基、烷氧基及/或芳基均可能未被取代。
在特定具體實施例中,式I中的取代基R2和R3係連結而形成一環結構。在其他具體實施例中,式I中的取代基R2和R3沒連結。
以下表1提供具有式I的有機胺基矽烷類之特定具體實施例的一些非限定例。

在特定具體實施例中,式I的鹵化有機胺基矽烷包含Cl作為X而且係選自包含以下的群組:ClH2Si(NR2R3),其中m=1,n=0,p=2,R2係選自線性或分支C3至C10烷基和C6至C10芳基而且R3係分支C3至C10烷基或C6至C10芳基,而且其中R2和R3可形成一環狀環或經烷基取代的環狀環;及R1ClHSi(NR3R4),其中m=1,n=1,p=1,R1係C1至C10烷基而且R2和R3係線性或分支C3至C10烷基或C4至C10芳基,而且其中R2和R3可形成一環狀環或經烷基取代的環狀環。
在特定具體實施例中,具有式I的鹵化有機胺基矽烷可藉由使1莫耳當量的二氯矽烷(DCS)與1莫耳當量的二級胺或三氯矽烷(TCS)與1或2莫耳當量之具有以下式II的二級胺在有機溶劑或溶劑混合物中反應製備,其使用1或2莫耳當量的三級胺例如三乙胺或三丁胺來吸收副產物,氯化氫,較佳按照以下實施例1和2示範的方式使用三級胺)。在特定具體實施例中,以等莫耳的二級胺類來替代三級胺類。可用於本具體實施例中而選定的二級胺具有以下式II: R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環。在R2及/或R3係分支烷基、環狀烷基或芳香族基團的具體實施例中,由這些胺類所合成的鹵化有機胺基矽烷類的安定性可獲得大幅改善,因為胺基和氫原子的分子間取代交換反應受到此R2及/或R3基團的立體膨鬆性所妨礙。具有式II的例示性二級胺包括,但不限於,二異丙基胺、二異丁基胺、二第二丁基胺、異丙基甲基胺、異丙基乙基胺、環己基甲基胺、環丙基乙基胺、二環丙基胺、環己基異丙基胺、N-甲基苯胺(苯基甲基胺)、N-乙基苯胺(苯基乙基胺)、N-異丙基苯胺、正丁基苯胺、N-烯丙基苯胺、N-乙基-間-甲苯胺、N-甲基-鄰-甲苯胺、N-甲基-對-甲苯胺、4-氟-N-甲基苯胺、4-氯-N-甲基苯胺、N-環己基苯胺、3-苯胺基丙腈或N-苯基胺基乙腈。
R2和R3連結以形成一環之具有式II的例示性胺類包括,但不限於,2,6-二甲基六氫吡啶、2-甲基六氫吡啶、2-甲基吡咯啶、2,5-二甲基吡咯啶、2,2,6,6,-四甲基六氫吡啶、3-甲基吲哚、2-甲基吲哚、吲哚、全氫喹啉、8-甲基-1,2,3,4-四氫喹啉、3-吲哚乙腈、2-甲基吲哚啉、2,3-二氫吲哚、5-甲基吲哚啉、1,2,3,4-四氫喹啉、1,2,3,4-四氫-2-甲基喹啉、1,2,3,4-四氫-6-甲基喹啉、3,4-二氫-2H-1,4-苯并噁嗪、咔唑、2,6-二甲基嗎啉及3,5-二甲基嗎啉。
以下方程式1、2及3提供反應圖式或合成途徑的實例,其可用以製造文中所述之具有式I的鹵化有機胺基矽烷類。方程式1、2和3中的反應可利用有機溶劑(例如,在有機溶劑存在之下)進行。在使用有機溶劑的具體實施例中,適合有機溶劑的實例包括,但不限於,烴例如己烷類、辛烷、甲苯及醚類例如二乙醚及四氫呋喃(THF)。在各個不同具體實施例中,如果涉及溶劑,反應溫度係於約-70℃至所用的溶劑之沸點的範圍中。所得的有機胺基矽烷可在移除所有副產物及溶劑之後經由真空蒸餾來純化。方程式1至3係涉及二氯矽烷或三氯矽烷的反應之具體實施例。涉及部分胺化反應之合成途徑的實例在本文中以實施例1和3的方式提供,而實施例2則示範涉及金屬醯胺的方程式2,該金屬醯胺可經由具有式II的胺與烷基金屬的反應來製備。

用以形成該等含矽的介電膜或塗層的方法為沉積製程。用於文中所揭示的方法的適合沉積製程之實例包括,但不限於,循環式CVD(CCVD)、MOCVD(金屬有機CVD)、熱化學氣相沉積、電漿強化化學氣相沉積(“PECVD”)、高密度PECVD、光子輔助CVD、電漿-光子輔助(“PPECVD”)、極低溫化學氣相沉積、化學輔助氣相沉積、熱極化學氣相沉積、液態聚合物前驅物的CVD、由超臨界流體沉積及低能量CVD(LECVD)。在特定的具體實施例中,該等含金屬膜係經由原子層沉積(ALD)、電漿強化ALD(PEALD)或電漿強化循環式CVD(PECCVD)製程沉積。如文中所用的,該措辭“化學氣相沉積製程”表示使基材暴露於一或更多揮發性前驅物的任何製程,該等前驅物於該基材表面上反應及/或分解而產生所欲的沉積。用於本文時,該措辭“原子層沉積製程”表示自限性(例如,在各反應循環中沉積的膜材料量恆定)的連續表面化學,其將材料膜沉積於變化組成的基材上。儘管文中所用的前驅物、試藥及來源有時候可能被稱作“氣態”,但是咸瞭解該等前驅物可為經由直接汽化、發泡或昇華利用或沒用惰性氣體運送至該反應器中的液體或固體。在一些案例中,汽化的前驅物能通過一電漿產生器。有一具體實施例中,該介電膜係利用ALD製程來沉積。在另一具體實施例中,該介電膜係利用CCVD製程來沉積。在又另一具體實施例中,該介電膜係利用熱CVD製程來沉積。用於本文時該措辭“反應器”,包括但不限於,反應艙或沉積艙。
在特定的具體實施例中,文中所揭示的方法避免藉由使用ALD或CCVD方法使前驅物預先反應,該等方法在引進該反應器之前及/或期間分離該等前驅物。關此,沉積技術例如ALD或CCVD方法係用以沉積該介電膜。有一具體實施例中,該膜係經由ALD方法藉著使該基材表面輪流暴露於該一或更多含矽前驅物、氧來源、含氮來源或其他前驅物或試藥而沉積。膜生長藉由表面反應的自限性控制、各前驅物或試藥的脈衝時間長度及沉積溫度進行。然而,一旦該基材的表面飽和,該膜生長即停止。
在特定的具體實施例中,文中所述的方法另外包含具有上述式I之鹵化有機胺基矽烷前驅物以外的一或更多其他含矽前驅物或非鹵化前驅物。其他含矽前驅物的實例包括,但不限於,有機矽化合物例如矽氧烷類(例如,六甲基二矽氧烷(HMDSO)及二甲基矽氧烷(DMSO));有機矽氧烷類(例如,甲基矽烷;二甲基矽烷;乙烯基三甲基矽烷;三甲基矽烷;四甲基矽烷;乙基矽烷;二甲矽烷基甲烷;2,4-二矽雜戊烷;1,4-二矽雜丁烷;2,5-二矽雜己烷;2,2-二甲矽烷基丙烷;1,3,5-三矽雜環己烷及這些化合物的氟化衍生物;含苯基的有機矽化合物(例如,二甲基苯基矽烷及二苯基甲基矽烷);含氧的有機矽化合物,例如,二甲基二甲氧基矽烷;1,3,5,7-四甲基環四矽氧烷;1,1,3,3-四甲基二矽氧烷;1,3,5,7-四矽雜-4-酮基-庚烷;2,4,6,8-四矽雜-3,7-二酮基-壬烷;2,2-二甲基-2,4,6,8-四矽雜-3,7-二酮基-壬烷;八甲基環四矽氧烷;[1,3,5,7,9]-五甲基環五矽氧烷;1,3,5,7-四矽雜-2,6-二酮基-環辛烷;六甲基環三矽氧烷;1,3-二甲基二矽氧烷;1,3,5,7,9-五甲基環五矽氧烷;六甲氧基二矽氧烷及這些化合物的氟化衍生物。
在文中所述的方法之一特定具體實施例中,該非鹵化的含矽前驅物係具有以下式III的化合物:(R2R3N)SiH3 (III)其中R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環。在式III的特定具體實施例中,R2和R3可結合以形成一環狀基團或環。在在式III的其他具體實施例中,R2和R3沒結合以形成一環狀基團或環。非-鹵化含矽前驅物的實例包括,但不限於,二異丙基胺基矽烷、二第二丁基胺基矽烷、苯基甲基胺基矽烷及2,6-二甲基六氫吡啶基矽烷。
依據該沉積方法,在特定的具體實施例中,該一或更多鹵化或非-鹵化的含矽前驅物可於預定莫耳體積,或約0.1至約1000微莫耳下引進該反應器。在各個不同具體實施例中,該鹵化或非-鹵化的有機胺基矽烷前驅物可歷經預定時期引進該反應器。在特定的具體實施例中,該時期期介於約0.001至約500秒。
在特定的具體實施例中,使用文中所述的方法沉積的介電膜係於氧存在之下使用氧來源、試藥或包含氧的前驅物沉積。氧來源可以至少一氧來源的形式引進該反應器及/或可附帶存在於該沉積製程所用的其他前驅物中。適合的氧來源氣體可包括,舉例來說,水(H2O)(例如,去離子水、純水及/或蒸餾水)、氧(O2)、氧電漿、臭氧(O3)、NO、NO2、一氧化碳(CO)、二氧化碳(CO2)及其組合。在特定的具體實施例中,該氧來源包含於介於約1至約2000每分鐘標準立方公分(sccm)或約1至約1000 sccm的流速下引進該反應器的氧來源氣體。該氧來源可被引進歷經介於約0.1至約100秒的時間。在一特定具體實施例中,該氧來源包含具有10℃或更高溫度的水。在藉由ALD或循環式CVD製程沉積該膜的具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝期間,而且該氧來源可具有小於0.01秒的脈衝期間,而該水脈衝期間可具有小於0.01秒的脈衝期間。在又另一具體實施例中,該等脈衝之間的洗淨期間可低到0秒或連續脈衝而於其間沒有洗淨。該氧來源或試藥係以對該矽前驅物低於1:1比例的分子量提供,所以原沉積(as deposited)的介電膜中還保持至少一些碳。
在特定的具體實施例中,該等介電膜包含矽及氮。在這些具體實施例中,使用文中所述的方法所沉積的介電膜係於含氮來源存在之下形成。含氮來源可以至少一氮來源的形式引進該反應器及/或可附帶存在於該沉積製程中所用的其他前驅物中。適合的含氮來源氣體可包括,舉例來說,氨、肼、單烷基肼、二烷基肼、氮、氮/氫、氨電漿、氮電漿、氮/氫電漿及其混合物。在特定的具體實施例中,該含氮來源包含氨電漿或氫/氮電漿來源氣體,該來源氣體係於介於約1至約2000每分鐘標準立方公分(sccm)或約1至約1000 sccm的流速下引進該反應器。該含氮來源可被引進歷經介於約0.1至約100秒的時間。在藉由ALD或循環式CVD製程沉積該膜的具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝期間,而且該含氮來源可具有小於0.01秒的脈衝期間,而該水脈衝期間可具有小於0.01秒的脈衝期間。在又另一具體實施例中,該等脈衝之間的洗淨期間可低到0秒或連續脈衝而於其間沒有洗淨。
在此所揭示的沉積方法可能涉及一或更多洗淨氣體。該洗淨氣體,其係用以洗淨未消耗的反應物及/或反應副產物,為不會與該等前驅物反應的惰性氣體。例示性洗淨氣體包括,但不限於,氬(Ar)、氮(N2)、氦(He)、氖、氫(H2)及其混合物。在特定的具體實施例中,洗淨氣體例如Ar係於介於約10至約2000 sccm的流速下歷經約0.1至1000秒供應至該反應器中,藉以洗掉未反應的材料及可能留在該反應器中的任何副產物。
供應該等前驅物、氧來源、該含氮來源及/或其他前驅物、來源氣體及/或試藥的分別步驟可藉由改變供應彼等的時間以改變所得介電膜的化學計量組成而進行。
將能量施於該前驅物、含氮來源、還原劑、其他前驅物或其組合之至少其一以引發反應並且將該介電膜或塗層形成於該基材上。此能量可經由,但不限於,熱、電漿、脈衝電漿、螺旋電漿(helicon plasma)、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合來提供。在特定的具體實施例中,可使用二次RF頻率來源以改變該基材表面的電漿特性。在沉積涉及電漿的具體實施例中,該電漿產生製程可包含於該反應器中直接產生電漿的直接電漿產生製程,或選擇性地在該反應器外側產生電漿而且供應至該反應器中的遠距電漿產生方法。
該等有機胺基矽烷前驅物及/或其他含矽前驅物可以各式各樣的方式輸送至該反應艙,例如CVD或ALD反應器。有一具體實施例中,可利用液體輸送系統。有一選擇性具體實施例中,可運用合併液體輸送及閃蒸汽化製程單元,例如,舉例來說,明尼蘇達州,休爾瓦的MSP有限公司所製造的渦輪汽化器使低揮發性材料能夠以體積輸送,導致可再現的運送及沉積而不會使該前驅物熱分解。在液體輸送調合物中,文中所述的前驅物可以純液體形態遞送,或者,可以溶劑調合物或包含該前驅物的組合物加以運用。因此,在特定的具體實施例中該等前驅物調合物可包括指定的最終用途應用可能想要及有益的適合特性的溶劑組分以在基材上形成一膜。
關於包含溶劑及文中所述之具有式I的鹵化有機胺基矽烷前驅物之組合物中使用具有式I的鹵化有機胺基矽烷前驅物的那些具體實施例,所選擇的溶劑或其混合物不會與該有機胺基矽烷反應。在該組合物中以重量百分比計的溶劑量介於0.5重量%至99.5%或10重量%至75%。在各個不同具體實施例中,該溶劑具有類似於式I的鹵化有機胺基矽烷的沸點之沸點(b.p.)或該溶劑的沸點與式I的鹵化有機胺基矽烷的沸點之間的差異為40℃或更低、30℃或更低或200℃或更低或100℃。也可以,該等沸點之間的沸點差異介於以下端點之任一或多者:0、10、20、30或40℃。沸點差異的適合範圍之實例包括,但不限於,0至40℃、20°至30℃或10°至30℃。該等組合物中的適合溶劑之實例包括,但不限於,醚(例如1,4-二噁烷、二丁醚)、三級胺(例如吡啶、1-甲基六氫吡啶、1-乙基六氫吡啶、N,N'-二甲基六氫吡嗪、N,N,N',N'-四甲基乙二胺)、腈(例如苯甲腈)、烷基烴(例如辛烷、壬烷、十二烷、乙基環己烷)、芳香族烴(例如甲苯、三甲苯)、三級胺基醚(例如雙(2-二甲基胺基乙基)醚)或其混合物。一些非限制的例示性組合物包括,但不限於,包含二異丙基胺基矽烷(沸點約116℃)及辛烷(沸點125至126℃)的組合物;包含二異丙基胺基矽烷(沸點約116℃)及吡啶(沸點115℃)的組合物;包含二異丙基胺基矽烷(沸點約116℃)及甲苯(沸點110℃)的組合物;包含N-甲基環己基胺基矽烷(沸點約171℃)及癸烷(沸點174℃)的組合物;包含N-甲基環己基胺基矽烷(沸點約171℃)及二乙二醇二甲醚(沸點162℃)的組合物;包含N-異丙基環己基胺基矽烷(沸點約199℃)及雙(2-二甲基胺基乙基)醚(沸點189℃)的組合物;包含N-異丙基環己基胺基矽烷(沸點約199℃)及苯甲腈(沸點191℃)的組合物。
在另一具體實施例中,文中描述用於沉積包含一或更多具有式I的有機胺基矽烷前驅物的介電膜之容器。有一特定具體實施例中,該容器包含至少一裝配適當閥及附件的可加壓容器(較佳由不銹鋼製成)以便能將一或更多前驅物輸送至該反應器供CVD或ALD製程之用。在各個不同具體實施例中,將該式I的鹵化有機胺基矽烷前驅物提供於包含不銹鋼的可加壓容器中而且該前驅物的純度為98重量%或更高或99.5%或更高,其適用於大部分半導體應用。在特定的具體實施例中,必要的話這樣的容器也具有用於混合該等前驅物與一或更多其他前驅物的裝置。在各個不同具體實施例中,該(等)容器的內容物可與另一前驅物預先混合。或者,該鹵化有機胺基矽烷前驅物及/或其他前驅物可保持於獨立容器中或具有分隔機構以供於儲存期間使該有機胺基矽烷前驅物及其他前驅物保持分開之單一容器中。在特定具體實施例中,該容器中之具有式I的鹵化有機胺基矽烷前驅物另外包含回填氣體(back fill gas)例如,但不限於,氮、惰性氣體例如氦或其組合。在一替代具體實施例中,該容器不含回填氣體。
如先前所述,該鹵化有機胺基矽烷的純度高到足以為可靠性半導體製造所接受。在特定具體實施例中,文中所述的鹵化有機胺基矽烷前驅物包含低於2重量%或低於1重量%或低於0.5重量%的以下雜質之一或多者:游離胺類、游離鹵基或鹵素離子及較高分子量物種。較高純度的文中所述之有機胺基矽烷類可透過以下製程之一或多者獲得:純化、吸附及/或蒸餾。
在文中所述的方法之一具體實施例中,可使用循環式沉積製程例如CCVD、ALD或PEALD,其中使用至少一選自具有式I的鹵化有機胺基矽烷前驅物的含矽前驅物及任意含氮來源例如,舉例來說,氨、肼、單烷基肼、二烷基肼、氮、氮/氫、氨電漿、氮電漿、氮/氫電漿。
在特定的具體實施例中,從該等前驅物藥罐連接到該反應艙的氣體管道係加熱至一或更多溫度,其取決於製程需求,而且使該具有式I的鹵化有機胺基矽烷前驅物的容器保持於一或更多溫度以供起泡。在其他具體實施例中,把包含該至少一具有式I的鹵化有機胺基矽烷前驅物的溶液注入保持於一或更多溫度的汽化器以供直接液體注入。
氬及/或其他氣體流可當作載體氣體以協助在該前驅物脈衝的期間將該至少一有機胺基矽烷前驅物蒸氣輸送至該反應艙。在特定的具體實施例中,該反應艙加工壓力為約1托耳。
在典型的ALD或CCVD製程中,該基材例如氧化矽基材係於一反應艙的加熱器段上加熱,該反應艙最初暴露於該含矽前驅物以使該錯合物能化學吸附於該基材的表面上。
洗淨氣體例如氬從該加工艙洗掉未被吸附的過量錯合物。經過充分洗淨之後,可將含氮來源引進反應艙以與被吸附的表面反應,接著另一氣體洗淨以從該艙移除反應副產物。此加工循環可重複進行以達到所欲的膜厚度。
在特定具體實施例中,此方法使用還原劑。該還原劑經常以氣態引進。適合還原劑之實例包括,但不限於,氫氣、氫電漿、遠距氫電漿、矽烷類(亦即,二乙基矽烷、乙基矽烷、二甲基矽烷、苯基矽烷、矽烷、二矽烷、胺基矽烷類、氯矽烷類)、硼烷類(亦即,硼烷、二硼烷)、鋁烷類、鍺烷類、肼類、氨或其混合物。在一特定具體實施例例如非晶矽的沉積中,有使用還原劑。
在各個不同具體實施例中,咸瞭解文中所述的方法的步驟可以各式各樣的順序及其任何組合進行,可連續地或同時地進行(例如,在另一步驟的至少一部分的期間)。供應該等前驅物及該等含氮來源氣體的分別步驟可藉由變化供應彼等的時期以改變所得含矽膜的化學計量組成而進行。
在文中所揭示的方法的另一具體實施例中,使用ALD沉積方法形成同時含矽及氮的膜,該方法包含下列步驟:將基材提供於一ALD反應器中;將至少一具有以下式I的鹵化有機胺基矽烷前驅物引進該ALD反應器中:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環;使該至少一鹵化有機胺基矽烷前驅物化學吸附於基材上;利用洗淨氣體洗掉未反應的至少一有機胺基矽烷前驅物;提供一氧來源給受熱的基材上之有機胺基矽烷前驅物以與被吸附的至少一有機胺基矽烷前驅物反應;及任意洗掉任何未反應的含氮來源。
在文中所揭示的方法的另一具體實施例中,使用ALD沉積方法形成同時該等介電膜,該方法包含下列步驟:將基材提供於一反應器中;將至少一具有以下式I的鹵化有機胺基矽烷前驅物引進該反應器中:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環;使該至少一有機胺基矽烷前驅物化學吸附於基材上;利用洗淨氣體洗掉未反應的至少一有機胺基矽烷前驅物;提供一氧來源給受熱的基材上之有機胺基矽烷前驅物以與被吸附的至少一有機胺基矽烷前驅物反應;及任意洗掉任何未反應的氧來源。
上述步驟界定文中所述的方法之一循環;而且該循環可重複進行直到獲得想要的介電膜厚度為止。在各個不同具體實施例中,咸瞭解文中所述的方法的步驟可以各式各樣的順序及其任何組合進行,可連續地或同時地進行(例如,在另一步驟的至少一部分的期間)。供應該等前驅物及氧來源的分別步驟可藉由變化供應彼等的時期以改變所得介電膜的化學計量組成而進行,但是始終使用相對於可利用的矽之低於化學計量的氧。
有關多組分含矽膜,其他前驅物例如含矽前驅物,含氮前驅物、還原劑或其他試藥可輪流引進該反應器艙內。
在文中所述的方法的另一具體實施例中,該介電膜係使用熱CVD方法來沉積。在此具體實施例中,該方法包含:將一或更多基材放入反應器中,該反應器係加熱至介於室溫至約700℃的溫度並且保持於1托耳或更低的壓力;引進至少一具有式I的鹵化有機胺基矽烷前驅物:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環;及將氧來源提供至該反應器中以與該至少一有機胺基矽烷前驅物至少部分反應並且將介電膜沉積於該一或更多基材上。在該CVD方法的特定具體實施例中,在該引進步驟期間該反應器係保持於介於100毫托耳至600毫托耳的壓力。
上述步驟界定文中所述的方法之一循環;而且該循環可重複進行直到獲得想要的介電膜厚度為止。在各個不同具體實施例中,咸瞭解文中所述的方法的步驟可以各式各樣的順序及其任何組合進行,可連續地或同時地進行(例如,在另一步驟的至少一部分的期間)。供應該等前驅物及氧來源的分別步驟可藉由變化供應彼等的時期以改變所得含矽膜的化學計量組成而進行,但是始終使用相對於可利用的矽之低於化學計量的氧。
有關多組分含矽膜,其他前驅物例如含矽前驅物,含氮前驅物、氧來源、還原劑及/或其他試藥可輪流引進該反應器艙內。
在文中所述的方法的另一具體實施例中,該介電膜係使用熱CVD方法來沉積。在此具體實施例中,該方法包含:將一或更多基材放入一反應器中,該反應器係加熱至介於室溫至約700℃的溫度並且保持於1托耳或更低的壓力;引進至少一具有以下式I的鹵化有機胺基矽烷前驅物:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環;及將含氮來源提供至該反應器中以與該至少一有機胺基矽烷前驅物至少部分反應並且將該介電膜沉積於該一或更多基材上。在該CVD方法的特定具體實施例中,在該引進步驟期間該反應器係保持於介於100毫托耳至600毫托耳的壓力。
如先前提及的,文中所述的製程可用以沉積膜,該製程使用多於一前驅物例如文中所述之具有式I的鹵化有機胺基矽烷與另一前驅物例如文中所述之具有式III的非鹵化有機胺基矽烷,含矽含矽前驅物例如文中所述者及/或氯矽烷(例如,但不限於,單氯矽烷(MCS)、二氯矽烷(DCS)、三氯矽烷或四氯矽烷)及/或烷基氯矽烷(例如,但不限於,甲基氯矽烷、乙基氯矽烷、甲基二氯矽烷、乙基二氯矽烷)。在這些具體實施例中,將該一或更多前驅物描述為第一前驅物、第二前驅物、第三前驅物等等,取決於所用的不同前驅物數目。此製程可用於,舉例來說,循環式化學氣相沉積或原子層沉積中。在各個不同具體實施例中,該等前驅物可依各式各樣的方式引進(例如,a)引進第一前驅物;b)洗淨;c)引進第二前驅物;d)洗淨;e)引進第三前驅物;f)洗淨等等,或者,也可以,a)引進第一前驅物;b)洗淨;c)引進第二前驅物;d)洗淨;e)引進第二前驅物;等等)。在一特定具體實施例中,提供一種沉積氧化矽膜或矽、碳和氧化物膜的方法,其包含以下步驟:a).使由第一前驅物所產生的蒸氣與受熱的基材接觸以使該第一前驅物化學吸附於該受熱的基材上;b).洗掉任何未被吸附的前驅物;c).將氧來源引至該受熱的基材上以與被吸附的第一前驅物反應;d).洗掉任何未反應的氧來源;e).使由不同該第一前驅物的第二前驅物所產生的蒸氣與受熱的基材接觸以使該第二前驅物化學吸附於該受熱的基材上;f).洗掉任何未被吸附的前驅物;g).將氧來源引至該受熱的基材上以與被吸附的第一和第二前驅物反應;及h).洗掉任何未反應的氧來源其中重複進行步驟a).至h).直到達到想要的厚度。
在文中所述的又另一具體實施例中,提供一種沉積氮化矽或碳氮化矽膜的方法,其包含以下步驟:a).使由第一前驅物所產生的蒸氣與受熱的基材接觸以使該第一前驅物化學吸附於該受熱的基材上;b).洗掉任何未被吸附的第一前驅物;c).將氮來源引至該受熱的基材上以與被吸附的第一前驅物反應;d).洗掉任何未反應的氮來源;e).使由不同該第一前驅物的第二前驅物所產生的蒸氣與受熱的基材接觸以使該第二前驅物化學吸附於該受熱的基材上;f).洗掉任何未被吸附的第二前驅物;g).將氮來源引至該受熱的基材上以與被吸附的第二前驅物反應;及h).洗掉任何未反應的氮來源其中重複進行步驟a)至h)直到達到想要的厚度。
在又另一具體實施例中,文中所述的是一種運用循環式化學氣相沉積(CCVD)或原子層沉積(ALD)技術例如,但不限於,電漿強化ALD(PEALD)或電漿強化CCVD(PECCVD)製程沉積含矽膜的製程。在這些具體實施例中,沉積溫度可能較高,或約500至800℃,以控制特定半導體應用要求的膜性質的規格。在一特定具體實施例中,該製程包含以下步驟:使由具有式I的鹵化有機胺基矽烷所產生的蒸氣與一受熱的基材接觸以使該等前驅物化學吸附於該受熱的基材上;洗掉任何未被吸附的前驅物;引進還原劑以將被吸附的前驅物還原;及洗掉任何未反應的還原劑。
在特定的具體實施例中,也可使用文中所述之具有I的鹵化有機胺基矽烷前驅物作為含金屬膜,例如但不限於,金屬氧化物膜或金屬氮化物膜,的摻雜劑。在這些具體實施例中,利用ALD或CVD製程例如文中所述的那些製程使用金屬烷氧化物、金屬醯胺或揮發性有機金屬前驅物來沉積該含金屬膜。可搭配文中所揭示的方法使用的適合金屬烷氧化物前驅物的實例包括,但不限於,第3至6族金屬烷氧化物、同時具有烷氧基及經烷基取代的環戊二烯配位子的第3至6族金屬錯合物、同時具有烷氧基及經烷基取代的吡咯基配位子的第3至6族金屬錯合物、同時具有烷氧基及二酮酸根配位子的第3至6族金屬錯合物;同時具有烷氧基及酮酯配位子的第3至6族金屬錯合物。可搭配文中所揭示的方法使用的適合金屬醯胺前驅物的實例包括,但不限於,肆(二甲基胺基)鋯(TDMAZ)、肆(二乙基胺基)鋯(TDEAZ)、肆(乙基甲基胺基)鋯(TEMAZ)、肆(二甲基胺基)鉿(TDMAH)、肆(二乙基胺基)鉿(TDEAH)及肆(乙基甲基胺基)鉿(TEMAH)、肆(二甲基胺基)鈦(TDMAT)、肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦(TEMAT)、第三丁基亞胺基三(二乙基胺基)鉭(TBTDET)、第三丁基亞胺基三(二甲基胺基)鉭(TBTDMT)、第三丁基亞胺基三(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基三(二乙基胺基)鉭(EITDET)、乙基亞胺基三(二甲基胺基)鉭(EITDMT)、乙基亞胺基三(乙基甲基胺基)鉭(EITEMT)、第三戊基亞胺基三(二甲基胺基)鉭(TAIMAT)、第三戊基亞胺基三(二乙基胺基)鉭、伍(二甲基胺基)鉭、第三戊基亞胺基三(乙基甲基胺基)鉭、雙(第三丁基亞胺基)雙(二甲基胺基)鎢(BTBMW)、雙(第三丁基亞胺基)雙(二乙基胺基)鎢、雙(第三丁基亞胺基)雙(乙基甲基胺基)鎢及其組合。可搭配文中所揭示的方法使用的適合有機金屬前驅物的實例包括,但不限於,第3族金屬環戊二烯基化物或烷基環戊二烯基化物。文中的例示性第3至6族金屬包括,但不限於,Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo及W。其他揮發性有機金屬前驅物包括,但不限於,烷基金屬前驅物例如但不限於三乙基鋁(TEA)、三甲基鋁(TMA)。
在特定具體實施例中,該等鹵化有機胺基矽烷可用於以液態為主的沉積或膜形成法例如,但不限於,旋塗、浸塗、氣溶膠、噴墨、網版印刷或噴灑應用。在透過液態為主的沉積形成該矽膜的具體實施例中,該複合材料膜係由包含,尤其是,至少一含矽前驅物例如文中所述之具有式I的鹵化有機胺基矽烷作為氧化矽來源、觸媒和水的組合物形成。該組合物可另外包含溶劑及表面活性劑。簡而言之,將該組合物分配於基材上並且使溶劑和水汽化即可形成該膜。該表面活性劑、剩下的溶劑和水一般藉著使經塗佈的基材暴露於一或更多能量來源並且歷經足以製造該含矽膜的時間而予以除去。在一些例子中,該膜可先預熱以實質上完成該氧化矽來源的水解,繼續進行交聯製程,及自該膜驅除任何可能留下的溶劑。
除了文中所述之具有式I的鹵化有機胺基矽烷以外,氧化矽來源的另一實例可包括能在形成細孔的材料除去之後產生Si-H鍵的化合物。
此來源又另外的實例能於,舉例來說,參考資料Hay等人,“Synthesis of Organic-Inorganic Hybrids via the Non-hydrolytic Sol-Gel Process”,Chem.Mater.,13,3396-3403(2001)或Hay等人,“A Versatile Route to Organically-Modified Silicas and Porous Silicas via the Non-Hydrolytic Sol-Gel Process”,J.Mater. Chem.,10,1811-1818(2000)所述的非水解化學方法中見到。
該氧化矽來源的又另一實例可包括膠態氧化矽、矽煙或矽酸起始材料。
氧化矽來源還有其他實例包括矽個半氧烷類例如氫矽個半氧烷類(HSQ,HSiO1.5)及甲基矽個半氧烷類(MSQ,RSiO1.5,其中R係甲基)。
在特定具體實施例中,該氧化矽來源可以水解和縮合產物的形式加於該混合物。該氧化矽來源的水解和縮合藉由以下方式進行,將水和觸媒加於溶劑並且同時、間歇或連續添加該氧化矽來源,而且在一般從-30至100℃,較佳20至100℃的溫度範圍下攪拌該混合物0至24小時的情況之下進行水解和縮合反應。該組合物可經調整以在製備的各步驟中藉由進行濃縮或以溶劑稀釋而提供所欲的固含量。此外,該氧化矽來源可為能在水解时產生醋酸的化合物。
該氧化矽來源的水解和縮合可於該膜形成期間的任何時刻發生,亦即,加於該混合物之前,加於該混合物之後,暴露於至少一能量來源之前及/或期間等等。舉例來說,在特定具體實施例中,該至少一氧化矽來源於一容器與溶劑、水和表面活性劑合併,而且將觸媒逐步加入該容器並且混合。可想像多變的不同添加於該混合物的順序均可使用而不會悖離本發明的精神。
適用於本發明的觸媒包括任何有機或無機酸或鹼,其可在水存在之下催化該氧化矽來源的取代基之水解作用,及/或二氧化矽來源的縮合作用以形成一Si-O-Si架橋。該觸媒可為有機鹼例如,但不限於,季銨鹽類及氫氧化物,例如銨或四甲基銨、胺類例如一級、二級和三級胺類及胺氧化物。該觸媒也可為一酸例如,但不限於,硝酸、順丁烯二酸、草酸、醋酸、甲酸、乙醇酸、乙醛酸或其組合。在較佳具體實施例中,該觸媒包含硝酸。
適用於本發明的溶劑可包括任何能與該等試藥顯示溶解度的溶劑。溶劑可為,舉例來說,醇溶劑、酮溶劑、醯胺溶劑或酯溶劑。在特定具體實施例中,該等溶劑可為超臨界流體例如二氧化碳、氟碳化合物、六氟化硫、烷類及其他適合的多組分混合物等等。在特定具體實施例中,本發明中使用的一或更多溶劑具有較低沸點,亦即,低於160℃。這些溶劑包括,但不限於,四氫呋喃、丙酮、1,4-二噁烷、1,3-二噁茂烷、醋酸乙酯及甲乙酮。其他本發明中可使用但是具有高於160℃的沸點的溶劑包括二甲基甲醯胺、二甲基乙醯胺、N-甲基吡咯酮、碳酸乙二酯、碳酸丙二酯、甘油和衍生物、萘和取代型、醋酸酐、丙酸和丙酸酐、二甲基碸、苯甲酮、二苯基碸、酚、間-苯二酚、二甲基亞碸、二苯基醚及聯三苯基等。較佳溶劑包括丙二醇丙基醚(PGPE)、3-庚醇、2-甲基-1-戊醇、5-甲基-2-己醇、3-己醇、2-庚醇、2-己醇、2,3-二甲基-3-戊醇、丙二醇甲基醚醋酸酯(PGMEA)、乙二醇正丁醚、丙二醇正丁醚(PGBE)、1-丁氧基-2-丙醇、2-甲基-3-戊醇、醋酸2-甲氧基乙酯、2-丁氧基乙醇、乙醯乙酸2-乙氧基乙酯、1-戊醇及丙二醇甲基醚。還有其他例示性溶劑包括乳酸酯類、丙酮酸酯類及二醇類。其他例示性溶劑包括EP 1,127,929中列示的那些溶劑。以上列舉的溶劑可單獨或以二或更多溶劑組合的方式使用。
在特定具體實施例中,該組合物內的試藥反應以於該基材上形成經縮合的可流動膜。此膜流入該間隙以利用該介電材料填滿該間隙。此膜接著藉由包括熱退火、紫外線(UV)曝光、微波曝光或暴露於氧化電漿的多種不同技術中之一或多者轉化成固體介電材料。根據特定具體實施例,藉由包括(但不限於)將該前驅物交聯以製造該固體材料及/或除去氫(--H)、羥基(--OH)或水(H2O)基團的機構將此膜轉化成該固體材料。在一特定具體實施例中,使用誘導耦合電漿來轉化此膜。
在特定具體實施例中,所得的介電膜或塗層可暴露於沉積後處理例如,但不限於,電漿處理、化學處理、紫外線曝光、電子束曝光及/或其他處理以影響此膜的一或更多性質。
在特定具體實施例中,文中所述的介電膜具有6或更小的介電常數。在各個不同具體實施例中,該等膜可具有約5或以下,或約4或以下,或約3.5或以下的介電常數。然而,想像具有其他介電常數(例如,更高或更低)的膜可依據該膜所欲的最終用途形成。在使用該等有機胺基矽烷前驅物及文中所述的製程形成的含矽膜或介電膜之一具體實施例具有此式SixOyCzNvHw,其中Si介於約10%至約40%;O介於約0%至約65%;C介於約0%至約75%或約0%至約50%;N介於約0%至約75%或約0%至50%;而且H介於約0%至約50%原子百分比重量%,其中x+y+z+v+w=100原子重量百分比,舉例來說,由XPS或其他裝置所測得的。然而,其他具有不同配方的介電膜或含矽膜之具體實施例也可使用文中所述的鹵化有機胺基矽烷前驅物製造。
如先前提過的,文中所述的方法可用以將含矽膜沉積於基材的至少一部分上。適合基材的實例包括但不限於,矽、SiO2、Si3N4、OSG、FSG、碳化矽、加氫碳化矽、氮化矽、加氫氮化矽、碳氮化矽、加氫碳氮化矽、氮化硼、抗反射塗層、光阻劑、有機聚合物、多孔性有機及無機材料、金屬(例如銅和鋁)及擴散阻障層(例如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN)。該等膜可與多變的後續加工步驟相容,例如,舉例來說,化學機械平坦化(CMP)及非等方性蝕刻製程。
所沉積的膜具有下列應用,其包括,但不限於,電腦晶片、光學裝置、磁性資訊儲存、於支撐材料或基材上的塗層、微電機系統(MEMS)、奈米電機系統、薄膜電晶體(TFT)及液晶顯示器(LCD)。
在申請專利範圍中,使用多個字母來識別所請求的步驟(例如(a)、(b)及(c))。除非而且只有當申請專利範圍中明確列舉此順序,否則這些字母有助於對照該方法的步驟而且不欲指示請求步驟進行的順序。
下列實施例舉例說明用於製備文中所述的鹵化有機胺基矽烷前驅物以及文中所述之經沉積的含矽膜而且不欲以任何方式限制本發明。 實施例 實施例1:2,6-二甲基六氫吡啶基氯矽烷的合成
在一配備機械攪拌器和加料漏斗的2000 ml三頸圓底燒瓶中,加入1000 ml己烷。利用乾冰IPA冷卻浴將此燒瓶冷卻至-20℃,使101 g(1.0 mol)二氯矽烷縮合並且溶入己烷中。配合攪拌,將113 g(1.0 mol)2,6-二甲基六氫吡啶及111g(1.1 mol)三乙基胺的混合物逐滴加於此燒瓶。等此添加完成之後,於-20℃下攪拌此反應混合物1小時,並且接著令其暖化至室溫。在以N2洗淨的手套袋中藉由真空過濾除去HCl‧Et3N的鹽副產物沉澱物以防止產物與空氣中的水分反應。對濾液所做的GC/MS分析確認產物2,6-二甲基六氫吡啶基氯矽烷,其顯示該產物具有177的分子離子質量並且支持162的團塊M-CH3的質量斷片。藉由蒸餾除去溶劑己烷並且藉由真空蒸餾分離出產物。沸點於10托耳下為60℃。生產率為66%。 實施例2:2,6-二甲基六氫吡啶基氯矽烷的替代合成方法
對於7.55g(66.68mmol)順式-2,6-二甲基六氫吡啶在-78℃下的50mL THF中之溶劑逐滴添加28.00mL(70.02mmol)的正丁基鋰在己烷類中的2.5M溶液。離析出沉澱物並且將反應混合物暖化至室溫同時攪拌。經過1小時之後將此混合物逐滴加於6.74g(66.68mmol)二氯矽烷在-40℃下的30mL二甲苯類和70mL己烷類中的溶液中並且形成淡灰色沉澱物。攪拌此反應混合物16小時,其後自離析固體倒出此反應混合物並且於周遭壓力下進行蒸餾以除去揮發物。以27%的生產率分離出3.16g想要的產物。產物經GC/MS分析確認為2,6-二甲基六氫吡啶基氯矽烷。 實施例3:2,6-二甲基六氫吡啶基二氯矽烷的合成
2,6-二甲基六氫吡啶基二氯矽烷的合成係藉由在70重量%己烷溶液中混合1份2,6-二甲基六氫吡啶對1份三乙胺對1.1份三氯矽烷的混合比來進行。為了防止雙(2,6-二甲基六氫吡啶基)氯矽烷的形成需要過量的三氯矽烷.在以N2洗淨的手套袋中藉由真空過濾除去HCl‧Et3N的鹽副產物沉澱物以防止產物與空氣中的水分反應。對濾液所做的GC/MS分析(圖1所示者)確認產物2,6-二甲基六氫吡啶基氯矽烷被製造出來,導出211的質量並且支持196的團塊-CH3的質量斷片。經過真空蒸餾之後,藉由第一步驟中的簡單蒸餾除去全部己烷。第二步驟使用真空蒸餾技術收集產物。沸點由真空蒸餾測定於18托耳下為93℃,而且於5托耳下為66℃。生產率為66%。 實施例4:含矽膜的原子層沉積
含矽膜的原子層沉積利用以下前驅物來進行:2,6-二甲基六氫吡啶基氯矽烷。以實驗室級ALD加工機具進行沉積。在進入沉積區之前將所有氣體(例如,洗淨和反應物氣體或前驅物及氧來源)預熱至100℃。利用具有高速傳動的ALD膜閥來控制氣體和前驅物流速。沉積時使用的基材為12吋長的矽細長條,其具有接附於試樣架的熱電耦。使用臭氧作為氧來源氣體進行沉積並且將沉積的製程參數列於表II:
重複進行步驟b)至e)直到達到所欲的厚度。所得的含矽膜之特徵為沉積速率及折射率。這些膜的厚度及折射率使用FilmTek 2000SE橢圓測厚儀將該膜的反射數據擬合於預設的物理模型(例如,Lorentz Oscillator模型)來測量。以X-射線光電光譜學(XPS)技術來分析膜組成。該X-射線射線光電光譜實驗係藉由裝配多流道板(MCD)及聚焦的鋁單色X-射線來源之PHI 5000VersaProbe光譜儀進行。膜組成係於100Å下測量該膜以除去外來的碳信號。測得的膜組成省略氫,因為XPS無法測到氫,並且標準化為100%。
對所有試樣進行X-射線反射量測儀(XRR)以測定膜密度。利用0.001的步距和1s/step的計數時間在0.220.65的範圍中掃描試樣。利用基材限定為矽且膜限定為氧化矽的兩層模型來分析數據。
利用2,6-二甲基六氫吡啶基氯矽烷於表III中彙總的150至300℃的多個不同基材溫度及1秒前驅物注入之下形成氧化矽膜:
利用2,6-二甲基六氫吡啶基氯矽烷於表IV中彙總的300℃基材溫度及多個不同前驅物注入時間之下形成氧化矽膜:
氧化矽沉積顯示沉積速率隨著逐漸提高的前驅物注入時間達於飽和,證明像自限性原子層沉積(ALD)的行為。藉由X-射線光譜術(XPS)測量時,沉積膜具有33原子%的Si及67原子%的O。膜中沒檢測到碳、氮和氯。膜密度為1.9±0.05 g/cc。
圖1提供實施例3所述的2,6-二甲基六氫吡啶基二氯矽烷的質譜術(MS)光譜。
权利要求:
Claims (44)
[1] 一種以下式I所示之鹵化有機胺基矽烷前驅物,XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環。
[2] 如申請專利範圍第1項之鹵化有機胺基矽烷前驅物,其中R1和R2相同。
[3] 如申請專利範圍第1項之鹵化有機胺基矽烷前驅物,其中R1和R2不同。
[4] 一種藉由選自化學氣相沉積製程和原子層沉積製程的沉積製程在基材的至少一表面上形成介電膜之方法,該方法包含:將該基材的至少一表面提供於一反應艙中;引進具有以下式I的至少一鹵化有機胺基矽烷前驅物:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環;將含氮來源引進該反應器,其中該至少一有機胺基矽烷前驅物和該含氮來源在該至少一表面上反應形成介電膜。
[5] 如申請專利範圍第4項之方法,其中該至少一有機胺基矽烷前驅物係選自由2,6-二甲基六氫吡啶基二氯矽烷、2,6-二甲基六氫吡啶基氯矽烷、環己基甲基胺基氯矽烷、環己基乙基胺基氯矽烷及環己基-異丙基胺基氯矽烷所組成的群組。
[6] 如申請專利範圍第5項之方法,其中該至少一有機胺基矽烷前驅物包含2,6-二甲基六氫吡啶基二氯矽烷。
[7] 如申請專利範圍第5項之方法,其中該至少一有機胺基矽烷前驅物包含2,6-二甲基六氫吡啶基氯矽烷。
[8] 如申請專利範圍第4項之方法,其中該含氮來源係選自由氨、肼、單烷基肼、二烷基肼、氮/氫、氨電漿、氮電漿、氮/氫電漿及其混合物所組成的群組。
[9] 如申請專利範圍第4項之方法,其中該介電膜係選自由氮化矽和碳氮化矽所組成的群組。
[10] 一種經由原子層沉積(ALD)製程形成介電膜之方法,該方法包含以下步驟:a.將基材提供於一ALD反應器中;b.將具有以下式I的至少一鹵化有機胺基矽烷前驅物提供於該ALD反應器中:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3沒連結以形成一環;c.以惰性氣體洗淨該ALD反應器;d.將含氮來源提供於該ALD反應器中;e.以惰性氣體洗淨該ALD反應器;及重複進行步驟b至e直到獲得想要的介電膜厚度。
[11] 如申請專利範圍第10項之方法,其中該至少一鹵化有機胺基矽烷前驅物係選自由2,6-二甲基六氫吡啶基二氯矽烷、2,6-二甲基六氫吡啶基氯矽烷、環己基甲基胺基氯矽烷、環己基乙基胺基氯矽烷及環己基-異丙基胺基氯矽烷所組成的群組。
[12] 如申請專利範圍第11項之方法,其中該至少一鹵化有機胺基矽烷前驅物包含2,6-二甲基六氫吡啶基二氯矽烷。
[13] 如申請專利範圍第11項之方法,其中該至少一鹵化有機胺基矽烷前驅物包含2,6-二甲基六氫吡啶基氯矽烷。
[14] 如申請專利範圍第10項之方法,其中該含氮來源係選自由氨、肼、單烷基肼、二烷基肼、氮、氮/氫、氨電漿、氮電漿、氮/氫電漿及其混合物所組成的群組。
[15] 如申請專利範圍第10項之方法,其中該介電膜係選自由氮化矽和碳氮化矽所組成的群組。
[16] 一種利用電漿強化原子層沉積(PEALD)製程在基材的至少一表面上形成介電膜之方法,該方法包含:a.將基材提供於一ALD反應器中;b.將具有以下式I的至少一鹵化有機胺基矽烷前驅物提供於該ALD反應器中:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環;c.以惰性氣體洗淨該ALD反應器;d.將含電漿氮來源提供於該ALD反應器中;e.以惰性氣體洗淨該ALD反應器;及重複進行步驟b至e直到獲得想要的介電膜厚度。
[17] 如申請專利範圍第16項之方法,其中該至少一鹵化有機胺基矽烷前驅物係選自由2,6-二甲基六氫吡啶基二氯矽烷、2,6-二甲基六氫吡啶基氯矽烷、環己基甲基胺基氯矽烷、環己基乙基胺基氯矽烷及環己基-異丙基胺基氯矽烷所組成的群組。
[18] 如申請專利範圍第17項之方法,其中該至少一鹵化有機胺基矽烷前驅物包含2,6-二甲基六氫吡啶基二氯矽烷。
[19] 如申請專利範圍第17項之方法,其中該至少一鹵化有機胺基矽烷前驅物包含2,6-二甲基六氫吡啶基氯矽烷。
[20] 如申請專利範圍第16項之方法,其中該含氮來源係選自由氨、肼、單烷基肼、二烷基肼、氮、氮/氫、氨電漿、氮電漿、氮/氫電漿及其混合物所組成的群組。
[21] 如申請專利範圍第16項之方法,其中該介電膜係選自由氮化矽和碳氮化矽所組成的群組。
[22] 一種用於基材上形成氧化矽膜之方法,其包含:使氧化劑與一前驅物於氣相沉積製程中反應以於該基材上形成該氧化矽膜,該前驅物包含以下式I所示的鹵化有機胺基矽烷:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環。
[23] 如申請專利範圍第22項之方法,其中該氣相沉積製程係至少一選自由化學氣相沉積、低壓氣相沉積、電漿強化化學氣相沉積、循環式化學氣相沉積、電漿強化循環式化學氣相沉積、原子層沉積及電漿強化原子層沉積所組成的群組者。
[24] 如申請專利範圍第22項之方法,其中該至少一鹵化有機胺基矽烷前驅物係選自由2,6-二甲基六氫吡啶基二氯矽烷、2,6-二甲基六氫吡啶基氯矽烷、環己基甲基胺基氯矽烷、環己基乙基胺基氯矽烷及環己基-異丙基胺基氯矽烷所組成的群組。
[25] 如申請專利範圍第24項之方法,其中該至少一鹵化有機胺基矽烷前驅物包含2,6-二甲基六氫吡啶基二氯矽烷。
[26] 如申請專利範圍第24項之方法,其中該至少一鹵化有機胺基矽烷前驅物包含2,6-二甲基六氫吡啶基氯矽烷。
[27] 一種用於基材上形成氧化矽膜之方法,其包含:由一組合物的氣相沉積於該基材上形成該氧化矽膜,該組合物包含至少一具有以下式I的有機胺基矽烷前驅物:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環,及至少一氧劑,其中該氣相沉積製程係至少一選自由化學氣相沉積、低壓氣相沉積、電漿強化化學氣相沉積、循環式化學氣相沉積、電漿強化循環式化學氣相沉積、原子層沉積及電漿強化原子層沉積所組成的群組者。
[28] 如申請專利範圍第27項之方法,其中該至少一鹵化有機胺基矽烷前驅物係選自由2,6-二甲基六氫吡啶基二氯矽烷、2,6-二甲基六氫吡啶基氯矽烷、環己基甲基胺基氯矽烷、環己基乙基胺基氯矽烷及環己基-異丙基胺基氯矽烷所組成的群組。
[29] 如申請專利範圍第28項之方法,其中該至少一鹵化有機胺基矽烷前驅物包含2,6-二甲基六氫吡啶基二氯矽烷。
[30] 如申請專利範圍第27項之方法,其中該至少一鹵化有機胺基矽烷前驅物包含2,6-二甲基六氫吡啶基氯矽烷。
[31] 一種用於基材上形成氧化矽膜之方法,其包含:將以下式I所示的鹵化有機胺基矽烷引進一反應器:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環;將至少一氧化劑引進該反應器,其中該至少一氧化劑與該有機胺基矽烷反應以於該基材上提供該氧化矽膜。
[32] 一種用於基材上形成氧化矽膜之方法,其中該膜包含一厚度,該方法包含:a.將至少一以下式I所示的鹵化有機胺基矽烷引進一沉積艙:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環;b.使該至少一鹵化有機胺基矽烷前驅物化學吸附於該基材上;c.利用洗淨氣體洗掉未反應的至少一鹵化有機胺基矽烷前驅物;d.提供一氧來源給受熱的基材上之鹵化有機胺基矽烷前驅物以與被吸附的至少一鹵化有機胺基矽烷前驅物反應;及e.任意洗掉任何未反應的氧來源。
[33] 如申請專利範圍第32項之方法,其中重複步驟a.至d.及任意步驟e.直到達到該膜厚度。
[34] 如申請專利範圍第32項之方法,其中該至少一有機胺基矽烷前驅物係選自由2,6-二甲基六氫吡啶基二氯矽烷、2,6-二甲基六氫吡啶基氯矽烷、環己基甲基胺基氯矽烷、環己基乙基胺基氯矽烷及環己基-異丙基胺基氯矽烷所組成的群組。
[35] 如申請專利範圍第34項之方法,其中該至少一鹵化有機胺基矽烷前驅物包含2,6-二甲基六氫吡啶基二氯矽烷。
[36] 如申請專利範圍第34項之方法,其中該至少一鹵化有機胺基矽烷前驅物包含2,6-二甲基六氫吡啶基氯矽烷。
[37] 如申請專利範圍第32項之方法,其係原子層沉積製程。
[38] 如申請專利範圍第32項之方法,其係電漿強化循環式化學氣相沉積製程。
[39] 一種用以輸送用於沉積含矽膜的前驅物之容器,該容器包含:以下式I所示的前驅物:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0或1;p係1;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環;及其中該前驅物的純度係為約98%或更高。
[40] 如申請專利範圍第39項之容器,其中該容器包含不銹鋼。
[41] 一種鹵化有機胺基矽烷前驅物,其係選自由2,6-二甲基六氫吡啶基氯矽烷、2,6-二甲基六氫吡啶基二氯矽烷、二環己基胺基氯矽烷、環己基甲基胺基氯矽烷、環己基乙基胺基氯矽烷、環己基-異丙基胺基氯矽烷、N-(氯矽基)全氫喹啉、苯基烯丙基胺基氯矽烷、間-甲苯基甲基胺基氯矽烷、N-(氯矽基)咔唑、N-(氯矽基)-四氫喹啉、N-(氯矽基)吲哚、N-(氯矽基)-2-甲基吲哚、N-(氯矽基)-3-甲基吲哚及N-(氯矽基)-1,2,3,4-四氫-2-甲基喹啉所組成的群組。
[42] 一種鹵化有機胺基矽烷前驅物,其具有下式:ClH2Si(NR2R3)其中m=1,n=0,p=2,R2係選自線性或分支C3至C10烷基及C6至C10芳基而且R3係為分支C3至C10烷基或C6至C10芳基,而且其中R2和R3可形成一環狀環或經烷基取代的環狀環。
[43] 一種鹵化有機胺基矽烷前驅物,其具有下式:R1ClHSi(NR3R4)其中m=1,n=1,p=1,R1係C1至C10烷基及R2和R3係線性或分支C3至C10烷基或C4至C10芳基,而且其中R2和R3可形成一環狀環或經烷基取代的環狀環。
[44] 一種用於沉積介電膜之組合物,其包含:XmR1 nHpSi(NR2R3)4-m-n-p I其中X係選自由Cl、Br、I所組成的群組的鹵基;R1係獨立地選自線性或分支C1至C10烷基、C2至C12烯基、C2至C12炔基、C4至C10環狀烷基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;R3係選自分支C3至C10烷基、C3至C12烯基、C3至C12炔基、C4至C10環狀烷基及C6至C10芳基;m係1或2;n係0、1或2;p係0、1或2;及(m+n+p)的總和係小於4,而且其中R2和R3係連結以形成一環或R2和R3沒連結以形成一環;及選自由醚、三級胺、腈、烷基烴、芳香族烴、三級胺基醚或其混合物所組成的群組之溶劑。
类似技术:
公开号 | 公开日 | 专利标题
TWI437005B|2014-05-11|鹵化有機胺基矽烷前驅物及含有此前驅物的膜的沉積方法
TWI491760B|2015-07-11|有機胺基矽烷前驅物及其膜的沉積方法
JP2018195833A|2018-12-06|有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
TWI614261B|2018-02-11|氮雜-多矽烷前驅物及包含氮雜-多矽烷前驅物的膜沉積方法
TWI541248B|2016-07-11|有機胺基矽烷前驅物及其製造和使用方法
EP2860182B1|2020-01-22|Organoaminosilane precursors and methods for depositing films comprising same
TWI496934B|2015-08-21|用於沉積碳摻雜含矽膜的組合物及方法
TWI516497B|2016-01-11|烷氧基胺基矽烷化合物及其應用
CN109963963B|2021-06-25|用于沉积氧化硅膜的组合物和方法
TWI740125B|2021-09-21|用於沉積含矽膜的有機胺官能化環寡矽氧烷
CN113518834A|2021-10-19|用于含硅膜的组合物及其使用方法
KR20190055850A|2019-05-23|고성장률 규소-함유 필름을 위한 탄소 가교결합된 아미노실란 화합물
TWI750577B|2021-12-21|用於含矽膜的組合物及使用其的方法
TW201713671A|2017-04-16|有機胺基二矽烷前驅物及其用於沉積膜的方法
同族专利:
公开号 | 公开日
KR101506940B1|2015-03-31|
US20130078392A1|2013-03-28|
EP2574611B1|2016-03-23|
CN107312028A|2017-11-03|
US8993072B2|2015-03-31|
CN103012457A|2013-04-03|
JP2015026849A|2015-02-05|
JP6310018B2|2018-04-11|
CN103012457B|2018-02-09|
CN107857774A|2018-03-30|
EP2574611A1|2013-04-03|
KR20130034001A|2013-04-04|
JP5658214B2|2015-01-21|
JP6100743B2|2017-03-22|
JP2016219834A|2016-12-22|
JP2013100262A|2013-05-23|
TWI437005B|2014-05-11|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题
US10453675B2|2013-09-20|2019-10-22|Versum Materials Us, Llc|Organoaminosilane precursors and methods for depositing films comprising same|CS267800B1|1988-12-19|1990-02-12|Jan Ing Csc Janiga|Sposob přípravy trisdietylaminosilíciumchloridu|
CA1336596C|1989-07-26|1995-08-08|Constantine A. Stewart|Organosilane compounds|
JP3282769B2|1994-07-12|2002-05-20|ソニー株式会社|半導体装置の製造方法|
DE69809148T2|1997-07-31|2003-07-24|Nippon Mitsubishi Oil Corp|Silanverbindung|
EP0902031A3|1997-08-28|1999-10-06|Dow Corning Corporation|Aminosilanes and method of preparation|
EP1127929B1|2000-02-28|2009-04-15|JSR Corporation|Composition for film formation, method of film formation, and silica-based film|
US7005392B2|2001-03-30|2006-02-28|Advanced Technology Materials, Inc.|Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same|
US7084080B2|2001-03-30|2006-08-01|Advanced Technology Materials, Inc.|Silicon source reagent compositions, and method of making and using same for microelectronic device structure|
JP4054957B2|2001-12-07|2008-03-05|信越化学工業株式会社|テトラキス(ジメチルアミノ)シランの塩素分低減方法|
JP2005534485A|2002-08-02|2005-11-17|アメリカ合衆国|架橋した一酸化窒素−放出ポリアミン被覆基質、それを含む組成物及びその製造方法|
US6893750B2|2002-12-12|2005-05-17|General Electric Company|Thermal barrier coating protected by alumina and method for preparing same|
JP4954448B2|2003-04-05|2012-06-13|ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー.|有機金属化合物|
US7524735B1|2004-03-25|2009-04-28|Novellus Systems, Inc|Flowable film dielectric gap fill process|
US7332618B2|2004-09-28|2008-02-19|Praxair Technology, Inc.|Organometallic precursor compounds|
US7875556B2|2005-05-16|2011-01-25|Air Products And Chemicals, Inc.|Precursors for CVD silicon carbo-nitride and silicon nitride films|
US7358194B2|2005-08-18|2008-04-15|Tokyo Electron Limited|Sequential deposition process for forming Si-containing films|
US7582555B1|2005-12-29|2009-09-01|Novellus Systems, Inc.|CVD flowable gap fill|
US7875312B2|2006-05-23|2011-01-25|Air Products And Chemicals, Inc.|Process for producing silicon oxide films for organoaminosilane precursors|
US7498273B2|2006-05-30|2009-03-03|Applied Materials, Inc.|Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes|
US8318966B2|2006-06-23|2012-11-27|Praxair Technology, Inc.|Organometallic compounds|
US20080207007A1|2007-02-27|2008-08-28|Air Products And Chemicals, Inc.|Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films|
JP5119832B2|2007-09-27|2013-01-16|富士通株式会社|界面ラフネス緩和膜、配線層、半導体装置および半導体装置の製造方法|
US7943531B2|2007-10-22|2011-05-17|Applied Materials, Inc.|Methods for forming a silicon oxide layer over a substrate|
JP5547418B2|2009-03-19|2014-07-16|株式会社Adeka|化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法|
KR101226876B1|2010-04-01|2013-01-28|레르 리키드 쏘시에떼 아노님 뿌르 레?드에렉스뿔라따시옹 데 프로세데 조르즈 클로드|아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적|
US20120277457A1|2010-10-12|2012-11-01|Air Products And Chemicals, Inc.|Aminosilanes and methods for making same|
KR101659463B1|2011-06-03|2016-09-23|에어 프로덕츠 앤드 케미칼스, 인코오포레이티드|탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법|US10378106B2|2008-11-14|2019-08-13|Asm Ip Holding B.V.|Method of forming insulation film by modified PEALD|
US9394608B2|2009-04-06|2016-07-19|Asm America, Inc.|Semiconductor processing reactor and components thereof|
US8802201B2|2009-08-14|2014-08-12|Asm America, Inc.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species|
US9312155B2|2011-06-06|2016-04-12|Asm Japan K.K.|High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules|
US9793148B2|2011-06-22|2017-10-17|Asm Japan K.K.|Method for positioning wafers in multiple wafer transport|
US10364496B2|2011-06-27|2019-07-30|Asm Ip Holding B.V.|Dual section module having shared and unshared mass flow controllers|
US10854498B2|2011-07-15|2020-12-01|Asm Ip Holding B.V.|Wafer-supporting device and method for producing same|
US9017481B1|2011-10-28|2015-04-28|Asm America, Inc.|Process feed management for semiconductor substrate processing|
US8946830B2|2012-04-04|2015-02-03|Asm Ip Holdings B.V.|Metal oxide protective layer for a semiconductor device|
CN104080944B|2012-07-20|2016-08-24|乔治洛德方法研究和开发液化空气有限公司|用于ald/cvd含硅薄膜应用的有机硅烷前体|
US9558931B2|2012-07-27|2017-01-31|Asm Ip Holding B.V.|System and method for gas-phase sulfur passivation of a semiconductor surface|
US9659799B2|2012-08-28|2017-05-23|Asm Ip Holding B.V.|Systems and methods for dynamic semiconductor process scheduling|
US9021985B2|2012-09-12|2015-05-05|Asm Ip Holdings B.V.|Process gas management for an inductively-coupled plasma deposition reactor|
US9324811B2|2012-09-26|2016-04-26|Asm Ip Holding B.V.|Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same|
US10714315B2|2012-10-12|2020-07-14|Asm Ip Holdings B.V.|Semiconductor reaction chamber showerhead|
US9640416B2|2012-12-26|2017-05-02|Asm Ip Holding B.V.|Single-and dual-chamber module-attachable wafer-handling chamber|
US9589770B2|2013-03-08|2017-03-07|Asm Ip Holding B.V.|Method and systems for in-situ formation of intermediate reactive species|
US9484191B2|2013-03-08|2016-11-01|Asm Ip Holding B.V.|Pulsed remote plasma method and system|
US9564309B2|2013-03-14|2017-02-07|Asm Ip Holding B.V.|Si precursors for deposition of SiN at low temperatures|
US9824881B2|2013-03-14|2017-11-21|Asm Ip Holding B.V.|Si precursors for deposition of SiN at low temperatures|
US9796739B2|2013-06-26|2017-10-24|Versum Materials Us, Llc|AZA-polysilane precursors and methods for depositing films comprising same|
US8993054B2|2013-07-12|2015-03-31|Asm Ip Holding B.V.|Method and system to reduce outgassing in a reaction chamber|
TW201509799A|2013-07-19|2015-03-16|Air Liquide|用於ald/cvd含矽薄膜應用之六配位含矽前驅物|
US9018111B2|2013-07-22|2015-04-28|Asm Ip Holding B.V.|Semiconductor reaction chamber with plasma capabilities|
US9793115B2|2013-08-14|2017-10-17|Asm Ip Holding B.V.|Structures and devices including germanium-tin films and methods of forming same|
US9284642B2|2013-09-19|2016-03-15|Asm Ip Holding B.V.|Method for forming oxide film by plasma-assisted processing|
US9240412B2|2013-09-27|2016-01-19|Asm Ip Holding B.V.|Semiconductor structure and device and methods of forming same using selective epitaxial process|
US9905415B2|2013-10-03|2018-02-27|Versum Materials Us, Llc|Methods for depositing silicon nitride films|
US9556516B2|2013-10-09|2017-01-31|ASM IP Holding B.V|Method for forming Ti-containing film by PEALD using TDMAT or TDEAT|
US9576790B2|2013-10-16|2017-02-21|Asm Ip Holding B.V.|Deposition of boron and carbon containing materials|
US9362109B2|2013-10-16|2016-06-07|Asm Ip Holding B.V.|Deposition of boron and carbon containing materials|
US10023958B2|2013-11-22|2018-07-17|Applied Materials, Inc.|Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors|
US10179947B2|2013-11-26|2019-01-15|Asm Ip Holding B.V.|Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition|
US9401273B2|2013-12-11|2016-07-26|Asm Ip Holding B.V.|Atomic layer deposition of silicon carbon nitride based materials|
JP5852147B2|2014-01-23|2016-02-03|株式会社日立国際電気|半導体装置の製造方法、基板処理装置、プログラム及び記録媒体|
US10683571B2|2014-02-25|2020-06-16|Asm Ip Holding B.V.|Gas supply manifold and method of supplying gases to chamber using same|
US9447498B2|2014-03-18|2016-09-20|Asm Ip Holding B.V.|Method for performing uniform processing in gas system-sharing multiple reaction chambers|
US10167557B2|2014-03-18|2019-01-01|Asm Ip Holding B.V.|Gas distribution system, reactor including the system, and methods of using the same|
US11015245B2|2014-03-19|2021-05-25|Asm Ip Holding B.V.|Gas-phase reactor and system having exhaust plenum and components thereof|
JP6157390B2|2014-03-20|2017-07-05|三菱電機株式会社|漏電遮断器|
US9404587B2|2014-04-24|2016-08-02|ASM IP Holding B.V|Lockout tagout for semiconductor vacuum valve|
KR102065329B1|2014-05-30|2020-01-13|다우 실리콘즈 코포레이션|다이아이소프로필아미노-다이실란의 합성 공정|
KR101956587B1|2014-05-30|2019-03-11|다우 실리콘즈 코포레이션|다이아미노실란 화합물|
EP3149010A4|2014-05-30|2017-11-08|Dow Corning Corporation|Monoaminosilane compounds|
US10113234B2|2014-07-21|2018-10-30|Applied Materials, Inc.|UV assisted silylation for porous low-k film sealing|
US10858737B2|2014-07-28|2020-12-08|Asm Ip Holding B.V.|Showerhead assembly and components thereof|
US9543180B2|2014-08-01|2017-01-10|Asm Ip Holding B.V.|Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum|
US9890456B2|2014-08-21|2018-02-13|Asm Ip Holding B.V.|Method and system for in situ formation of gas-phase compounds|
US9576792B2|2014-09-17|2017-02-21|Asm Ip Holding B.V.|Deposition of SiN|
US9875888B2|2014-10-03|2018-01-23|Applied Materials, Inc.|High temperature silicon oxide atomic layer deposition technology|
US9657845B2|2014-10-07|2017-05-23|Asm Ip Holding B.V.|Variable conductance gas distribution apparatus and method|
US10941490B2|2014-10-07|2021-03-09|Asm Ip Holding B.V.|Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same|
KR102300403B1|2014-11-19|2021-09-09|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법|
US10570513B2|2014-12-13|2020-02-25|American Air Liquide, Inc.|Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same|
KR102263121B1|2014-12-22|2021-06-09|에이에스엠 아이피 홀딩 비.브이.|반도체 소자 및 그 제조 방법|
US9520295B2|2015-02-03|2016-12-13|Lam Research Corporation|Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems|
US9478415B2|2015-02-13|2016-10-25|Asm Ip Holding B.V.|Method for forming film having low resistance and shallow junction depth|
WO2016137606A1|2015-02-23|2016-09-01|Applied Materials, Inc.|Cyclic sequential processes for forming high quality thin films|
US10529542B2|2015-03-11|2020-01-07|Asm Ip Holdings B.V.|Cross-flow reactor and method|
US10276355B2|2015-03-12|2019-04-30|Asm Ip Holding B.V.|Multi-zone reactor, system including the reactor, and method of using the same|
TWI663281B|2015-06-16|2019-06-21|美商慧盛材料美國責任有限公司|鹵代矽烷化合物的製備方法、組合物及含有其的容器|
US10458018B2|2015-06-26|2019-10-29|Asm Ip Holding B.V.|Structures including metal carbide material, devices including the structures, and methods of forming same|
US10600673B2|2015-07-07|2020-03-24|Asm Ip Holding B.V.|Magnetic susceptor to baseplate seal|
US9899291B2|2015-07-13|2018-02-20|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film|
CN107794515B|2016-09-01|2021-06-22|Asm Ip控股有限公司|通过形成基于烃的超薄膜对层进行保护的方法|
US10043661B2|2015-07-13|2018-08-07|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film|
US10083836B2|2015-07-24|2018-09-25|Asm Ip Holding B.V.|Formation of boron-doped titanium metal films with high work function|
US10745808B2|2015-07-24|2020-08-18|Versum Materials Us, Llc|Methods for depositing Group 13 metal or metalloid nitride films|
US10087525B2|2015-08-04|2018-10-02|Asm Ip Holding B.V.|Variable gap hard stop design|
US9647114B2|2015-08-14|2017-05-09|Asm Ip Holding B.V.|Methods of forming highly p-type doped germanium tin films and structures and devices including the films|
US10410857B2|2015-08-24|2019-09-10|Asm Ip Holding B.V.|Formation of SiN thin films|
US9711345B2|2015-08-25|2017-07-18|Asm Ip Holding B.V.|Method for forming aluminum nitride-based film by PEALD|
CN108026637A|2015-09-11|2018-05-11|弗萨姆材料美国有限责任公司|用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜|
US9960072B2|2015-09-29|2018-05-01|Asm Ip Holding B.V.|Variable adjustment for precise matching of multiple chamber cavity housings|
US9909214B2|2015-10-15|2018-03-06|Asm Ip Holding B.V.|Method for depositing dielectric film in trenches by PEALD|
US10211308B2|2015-10-21|2019-02-19|Asm Ip Holding B.V.|NbMC layers|
US10322384B2|2015-11-09|2019-06-18|Asm Ip Holding B.V.|Counter flow mixer for process chamber|
US9455138B1|2015-11-10|2016-09-27|Asm Ip Holding B.V.|Method for forming dielectric film in trenches by PEALD using H-containing gas|
US9905420B2|2015-12-01|2018-02-27|Asm Ip Holding B.V.|Methods of forming silicon germanium tin films and structures and devices including the films|
US9607837B1|2015-12-21|2017-03-28|Asm Ip Holding B.V.|Method for forming silicon oxide cap layer for solid state diffusion process|
JP6594768B2|2015-12-25|2019-10-23|株式会社KokusaiElectric|半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体|
US9627221B1|2015-12-28|2017-04-18|Asm Ip Holding B.V.|Continuous process incorporating atomic layer etching|
US9735024B2|2015-12-28|2017-08-15|Asm Ip Holding B.V.|Method of atomic layer etching using functional group-containing fluorocarbon|
US11139308B2|2015-12-29|2021-10-05|Asm Ip Holding B.V.|Atomic layer deposition of III-V compounds to form V-NAND devices|
US9701695B1|2015-12-30|2017-07-11|American Air Liquide, Inc.|Synthesis methods for aminosilanes|
US10053775B2|2015-12-30|2018-08-21|L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude|Methods of using aminosilane precursors for ALD/CVD silicon-containing film applications|
US9777373B2|2015-12-30|2017-10-03|American Air Liquide, Inc.|Aminosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same|
US9754779B1|2016-02-19|2017-09-05|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches|
US10529554B2|2016-02-19|2020-01-07|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches|
US10468251B2|2016-02-19|2019-11-05|Asm Ip Holding B.V.|Method for forming spacers using silicon nitride film for spacer-defined multiple patterning|
US10501866B2|2016-03-09|2019-12-10|Asm Ip Holding B.V.|Gas distribution apparatus for improved film uniformity in an epitaxial system|
US10343920B2|2016-03-18|2019-07-09|Asm Ip Holding B.V.|Aligned carbon nanotubes|
US9892913B2|2016-03-24|2018-02-13|Asm Ip Holding B.V.|Radial and thickness control via biased multi-port injection settings|
JP6784530B2|2016-03-29|2020-11-11|東京エレクトロン株式会社|被処理体を処理する方法|
WO2017170411A1|2016-03-29|2017-10-05|東京エレクトロン株式会社|被処理体を処理する方法|
WO2017170405A1|2016-03-29|2017-10-05|東京エレクトロン株式会社|被処理体を処理する方法|
JP6770848B2|2016-03-29|2020-10-21|東京エレクトロン株式会社|被処理体を処理する方法|
US10865475B2|2016-04-21|2020-12-15|Asm Ip Holding B.V.|Deposition of metal borides and silicides|
US10087522B2|2016-04-21|2018-10-02|Asm Ip Holding B.V.|Deposition of metal borides|
US10190213B2|2016-04-21|2019-01-29|Asm Ip Holding B.V.|Deposition of metal borides|
US10367080B2|2016-05-02|2019-07-30|Asm Ip Holding B.V.|Method of forming a germanium oxynitride film|
US10032628B2|2016-05-02|2018-07-24|Asm Ip Holding B.V.|Source/drain performance through conformal solid state doping|
KR20170129475A|2016-05-17|2017-11-27|에이에스엠 아이피 홀딩 비.브이.|금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법|
US10388509B2|2016-06-28|2019-08-20|Asm Ip Holding B.V.|Formation of epitaxial layers via dislocation filtering|
US9859151B1|2016-07-08|2018-01-02|Asm Ip Holding B.V.|Selective film deposition method to form air gaps|
US10612137B2|2016-07-08|2020-04-07|Asm Ip Holdings B.V.|Organic reactants for atomic layer deposition|
US9793135B1|2016-07-14|2017-10-17|ASM IP Holding B.V|Method of cyclic dry etching using etchant film|
US10714385B2|2016-07-19|2020-07-14|Asm Ip Holding B.V.|Selective deposition of tungsten|
KR102354490B1|2016-07-27|2022-01-21|에이에스엠 아이피 홀딩 비.브이.|기판 처리 방법|
US9812320B1|2016-07-28|2017-11-07|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
US10395919B2|2016-07-28|2019-08-27|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
KR20180013034A|2016-07-28|2018-02-07|에이에스엠 아이피 홀딩 비.브이.|기판 가공 장치 및 그 동작 방법|
US9887082B1|2016-07-28|2018-02-06|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
US10177025B2|2016-07-28|2019-01-08|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
US10090316B2|2016-09-01|2018-10-02|Asm Ip Holding B.V.|3D stacked multilayer semiconductor memory using doped select transistor channel|
US10410943B2|2016-10-13|2019-09-10|Asm Ip Holding B.V.|Method for passivating a surface of a semiconductor and related systems|
US10464953B2|2016-10-14|2019-11-05|Versum Materials Us, Llc|Carbon bridged aminosilane compounds for high growth rate silicon-containing films|
JP2020502360A|2016-10-25|2020-01-23|ビーエーエスエフ ソシエタス・ヨーロピアBasf Se|ケイ素含有薄膜の生成方法|
US10643826B2|2016-10-26|2020-05-05|Asm Ip Holdings B.V.|Methods for thermally calibrating reaction chambers|
US10643904B2|2016-11-01|2020-05-05|Asm Ip Holdings B.V.|Methods for forming a semiconductor device and related semiconductor device structures|
US10229833B2|2016-11-01|2019-03-12|Asm Ip Holding B.V.|Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures|
US10714350B2|2016-11-01|2020-07-14|ASM IP Holdings, B.V.|Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures|
US10435790B2|2016-11-01|2019-10-08|Asm Ip Holding B.V.|Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap|
US10134757B2|2016-11-07|2018-11-20|Asm Ip Holding B.V.|Method of processing a substrate and a device manufactured by using the method|
KR20180054366A|2016-11-15|2018-05-24|에이에스엠 아이피 홀딩 비.브이.|기체 공급 유닛 및 이를 포함하는 기판 처리 장치|
US10340135B2|2016-11-28|2019-07-02|Asm Ip Holding B.V.|Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride|
KR20180068582A|2016-12-14|2018-06-22|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치|
US9916980B1|2016-12-15|2018-03-13|Asm Ip Holding B.V.|Method of forming a structure on a substrate|
KR20180070971A|2016-12-19|2018-06-27|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치|
US10269558B2|2016-12-22|2019-04-23|Asm Ip Holding B.V.|Method of forming a structure on a substrate|
US10867788B2|2016-12-28|2020-12-15|Asm Ip Holding B.V.|Method of forming a structure on a substrate|
JP6857503B2|2017-02-01|2021-04-14|株式会社Kokusai Electric|半導体装置の製造方法、基板処理装置およびプログラム|
US10655221B2|2017-02-09|2020-05-19|Asm Ip Holding B.V.|Method for depositing oxide film by thermal ALD and PEALD|
US10468261B2|2017-02-15|2019-11-05|Asm Ip Holding B.V.|Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures|
US10283353B2|2017-03-29|2019-05-07|Asm Ip Holding B.V.|Method of reforming insulating film deposited on substrate with recess pattern|
US10529563B2|2017-03-29|2020-01-07|Asm Ip Holdings B.V.|Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures|
US10103040B1|2017-03-31|2018-10-16|Asm Ip Holding B.V.|Apparatus and method for manufacturing a semiconductor device|
KR102323894B1|2017-04-05|2021-11-08|이상인|초임계 유체를 사용하는 전구체의 스프레이에 의한 물질의 증착|
US11117161B2|2017-04-05|2021-09-14|Nova Engineering Films, Inc.|Producing thin films of nanoscale thickness by spraying precursor and supercritical fluid|
USD830981S1|2017-04-07|2018-10-16|Asm Ip Holding B.V.|Susceptor for semiconductor substrate processing apparatus|
KR20180119477A|2017-04-25|2018-11-02|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법 및 반도체 장치의 제조 방법|
US10770286B2|2017-05-08|2020-09-08|Asm Ip Holdings B.V.|Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures|
US10892156B2|2017-05-08|2021-01-12|Asm Ip Holding B.V.|Methods for forming a silicon nitride film on a substrate and related semiconductor device structures|
US10446393B2|2017-05-08|2019-10-15|Asm Ip Holding B.V.|Methods for forming silicon-containing epitaxial layers and related semiconductor device structures|
US10504742B2|2017-05-31|2019-12-10|Asm Ip Holding B.V.|Method of atomic layer etching using hydrogen plasma|
US11056353B2|2017-06-01|2021-07-06|Asm Ip Holding B.V.|Method and structure for wet etch utilizing etch protection layer comprising boron and carbon|
US10886123B2|2017-06-02|2021-01-05|Asm Ip Holding B.V.|Methods for forming low temperature semiconductor layers and related semiconductor device structures|
US10685834B2|2017-07-05|2020-06-16|Asm Ip Holdings B.V.|Methods for forming a silicon germanium tin layer and related semiconductor device structures|
KR20190009245A|2017-07-18|2019-01-28|에이에스엠 아이피 홀딩 비.브이.|반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물|
US10541333B2|2017-07-19|2020-01-21|Asm Ip Holding B.V.|Method for depositing a group IV semiconductor and related semiconductor device structures|
US11018002B2|2017-07-19|2021-05-25|Asm Ip Holding B.V.|Method for selectively depositing a Group IV semiconductor and related semiconductor device structures|
US10590535B2|2017-07-26|2020-03-17|Asm Ip Holdings B.V.|Chemical treatment, deposition and/or infiltration apparatus and method for using the same|
US10605530B2|2017-07-26|2020-03-31|Asm Ip Holding B.V.|Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace|
US10312055B2|2017-07-26|2019-06-04|Asm Ip Holding B.V.|Method of depositing film by PEALD using negative bias|
US10770336B2|2017-08-08|2020-09-08|Asm Ip Holding B.V.|Substrate lift mechanism and reactor including same|
US10692741B2|2017-08-08|2020-06-23|Asm Ip Holdings B.V.|Radiation shield|
US10249524B2|2017-08-09|2019-04-02|Asm Ip Holding B.V.|Cassette holder assembly for a substrate cassette and holding member for use in such assembly|
US11139191B2|2017-08-09|2021-10-05|Asm Ip Holding B.V.|Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith|
US10236177B1|2017-08-22|2019-03-19|ASM IP Holding B.V..|Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures|
USD900036S1|2017-08-24|2020-10-27|Asm Ip Holding B.V.|Heater electrical connector and adapter|
KR20190023920A|2017-08-30|2019-03-08|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치|
US11056344B2|2017-08-30|2021-07-06|Asm Ip Holding B.V.|Layer forming method|
US10607895B2|2017-09-18|2020-03-31|Asm Ip Holdings B.V.|Method for forming a semiconductor device structure comprising a gate fill metal|
KR20190033455A|2017-09-21|2019-03-29|에이에스엠 아이피 홀딩 비.브이.|침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치|
US10844484B2|2017-09-22|2020-11-24|Asm Ip Holding B.V.|Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods|
US10658205B2|2017-09-28|2020-05-19|Asm Ip Holdings B.V.|Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber|
JP6849091B2|2017-10-04|2021-03-24|Dic株式会社|粒子、インクおよび発光素子|
US10403504B2|2017-10-05|2019-09-03|Asm Ip Holding B.V.|Method for selectively depositing a metallic film on a substrate|
US10319588B2|2017-10-10|2019-06-11|Asm Ip Holding B.V.|Method for depositing a metal chalcogenide on a substrate by cyclical deposition|
US10923344B2|2017-10-30|2021-02-16|Asm Ip Holding B.V.|Methods for forming a semiconductor structure and related semiconductor structures|
KR102103346B1|2017-11-15|2020-04-22|에스케이트리켐 주식회사|박막 증착용 전구체 용액 및 이를 이용한 박막 형성 방법.|
US10910262B2|2017-11-16|2021-02-02|Asm Ip Holding B.V.|Method of selectively depositing a capping layer structure on a semiconductor device structure|
KR20190056158A|2017-11-16|2019-05-24|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치 방법 및 그에 의해 제조된 장치|
US11022879B2|2017-11-24|2021-06-01|Asm Ip Holding B.V.|Method of forming an enhanced unexposed photoresist layer|
CN111316417A|2017-11-27|2020-06-19|阿斯莫Ip控股公司|与批式炉偕同使用的用于储存晶圆匣的储存装置|
US10290508B1|2017-12-05|2019-05-14|Asm Ip Holding B.V.|Method for forming vertical spacers for spacer-defined patterning|
US10640523B2|2018-01-12|2020-05-05|Entegris, Inc.|Aminoiodosilanes and methods of synthesizing these aminoiodosilanes|
US10872771B2|2018-01-16|2020-12-22|Asm Ip Holding B. V.|Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures|
USD903477S1|2018-01-24|2020-12-01|Asm Ip Holdings B.V.|Metal clamp|
US11018047B2|2018-01-25|2021-05-25|Asm Ip Holding B.V.|Hybrid lift pin|
US10535516B2|2018-02-01|2020-01-14|Asm Ip Holdings B.V.|Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures|
USD880437S1|2018-02-01|2020-04-07|Asm Ip Holding B.V.|Gas supply plate for semiconductor manufacturing apparatus|
US11081345B2|2018-02-06|2021-08-03|Asm Ip Holding B.V.|Method of post-deposition treatment for silicon oxide film|
US10896820B2|2018-02-14|2021-01-19|Asm Ip Holding B.V.|Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process|
US10731249B2|2018-02-15|2020-08-04|Asm Ip Holding B.V.|Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus|
US10658181B2|2018-02-20|2020-05-19|Asm Ip Holding B.V.|Method of spacer-defined direct patterning in semiconductor fabrication|
US10975470B2|2018-02-23|2021-04-13|Asm Ip Holding B.V.|Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment|
US11114283B2|2018-03-16|2021-09-07|Asm Ip Holding B.V.|Reactor, system including the reactor, and methods of manufacturing and using same|
KR20190113580A|2018-03-27|2019-10-08|에이에스엠 아이피 홀딩 비.브이.|기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조|
US11088002B2|2018-03-29|2021-08-10|Asm Ip Holding B.V.|Substrate rack and a substrate processing system and method|
US10510536B2|2018-03-29|2019-12-17|Asm Ip Holding B.V.|Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber|
US11230766B2|2018-03-29|2022-01-25|Asm Ip Holding B.V.|Substrate processing apparatus and method|
KR20190114682A|2018-03-30|2019-10-10|에이에스엠 아이피 홀딩 비.브이.|기판 처리 방법|
US10580645B2|2018-04-30|2020-03-03|Asm Ip Holding B.V.|Plasma enhanced atomic layer depositionof SiN using silicon-hydrohalide precursors|
KR20190129718A|2018-05-11|2019-11-20|에이에스엠 아이피 홀딩 비.브이.|기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조|
US10797133B2|2018-06-21|2020-10-06|Asm Ip Holding B.V.|Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures|
US10612136B2|2018-06-29|2020-04-07|ASM IP Holding, B.V.|Temperature-controlled flange and reactor system including same|
KR20200002519A|2018-06-29|2020-01-08|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법 및 반도체 장치의 제조 방법|
US10755922B2|2018-07-03|2020-08-25|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition|
US10388513B1|2018-07-03|2019-08-20|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition|
US10767789B2|2018-07-16|2020-09-08|Asm Ip Holding B.V.|Diaphragm valves, valve components, and methods for forming valve components|
US10483099B1|2018-07-26|2019-11-19|Asm Ip Holding B.V.|Method for forming thermally stable organosilicon polymer film|
US11053591B2|2018-08-06|2021-07-06|Asm Ip Holding B.V.|Multi-port gas injection system and reactor system including same|
US10883175B2|2018-08-09|2021-01-05|Asm Ip Holding B.V.|Vertical furnace for processing substrates and a liner for use therein|
US10829852B2|2018-08-16|2020-11-10|Asm Ip Holding B.V.|Gas distribution device for a wafer processing apparatus|
US11024523B2|2018-09-11|2021-06-01|Asm Ip Holding B.V.|Substrate processing apparatus and method|
JP6980624B2|2018-09-13|2021-12-15|株式会社Kokusai Electric|半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム|
US11049751B2|2018-09-14|2021-06-29|Asm Ip Holding B.V.|Cassette supply system to store and handle cassettes and processing apparatus equipped therewith|
US11232963B2|2018-10-03|2022-01-25|Asm Ip Holding B.V.|Substrate processing apparatus and method|
US10847365B2|2018-10-11|2020-11-24|Asm Ip Holding B.V.|Method of forming conformal silicon carbide film by cyclic CVD|
US10811256B2|2018-10-16|2020-10-20|Asm Ip Holding B.V.|Method for etching a carbon-containing feature|
KR20200045067A|2018-10-19|2020-05-04|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치 및 기판 처리 방법|
US10381219B1|2018-10-25|2019-08-13|Asm Ip Holding B.V.|Methods for forming a silicon nitride film|
US11087997B2|2018-10-31|2021-08-10|Asm Ip Holding B.V.|Substrate processing apparatus for processing substrates|
US11031242B2|2018-11-07|2021-06-08|Asm Ip Holding B.V.|Methods for depositing a boron doped silicon germanium film|
US10847366B2|2018-11-16|2020-11-24|Asm Ip Holding B.V.|Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process|
US10818758B2|2018-11-16|2020-10-27|Asm Ip Holding B.V.|Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures|
US10559458B1|2018-11-26|2020-02-11|Asm Ip Holding B.V.|Method of forming oxynitride film|
US11217444B2|2018-11-30|2022-01-04|Asm Ip Holding B.V.|Method for forming an ultraviolet radiation responsive metal oxide-containing film|
EP3766888A1|2018-11-30|2021-01-20|Hansol Chemical Co., Ltd|Silicon precursor and method of manufacturing silicon-containing thin film using the same|
US11158513B2|2018-12-13|2021-10-26|Asm Ip Holding B.V.|Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures|
KR20200091543A|2019-01-22|2020-07-31|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치|
CN111524788A|2019-02-01|2020-08-11|Asm Ip私人控股有限公司|氧化硅的拓扑选择性膜形成的方法|
JP2020136678A|2019-02-20|2020-08-31|エーエスエム・アイピー・ホールディング・ベー・フェー|基材表面内に形成された凹部を充填するための方法および装置|
KR20200102352A|2019-02-20|2020-08-31|에이에스엠 아이피 홀딩 비.브이.|처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치|
KR20200108243A|2019-03-08|2020-09-17|에이에스엠 아이피 홀딩 비.브이.|SiOC 층을 포함한 구조체 및 이의 형성 방법|
USD935572S1|2019-05-24|2021-11-09|Asm Ip Holding B.V.|Gas channel plate|
USD922229S1|2019-06-05|2021-06-15|Asm Ip Holding B.V.|Device for controlling a temperature of a gas supply unit|
USD931978S1|2019-06-27|2021-09-28|Asm Ip Holding B.V.|Showerhead vacuum transport|
US11227782B2|2019-07-31|2022-01-18|Asm Ip Holding B.V.|Vertical batch furnace assembly|
USD930782S1|2019-08-22|2021-09-14|Asm Ip Holding B.V.|Gas distributor|
USD940837S1|2019-08-22|2022-01-11|Asm Ip Holding B.V.|Electrode|
WO2021133774A1|2019-12-27|2021-07-01|Versum Materials Us, Llc|Method for depositing a film|
CN111233513A|2020-02-12|2020-06-05|南京医科大学|一种氧化锆陶瓷修复体粘接面的改性方法|
法律状态:
优先权:
申请号 | 申请日 | 专利标题
US201161539717P| true| 2011-09-27|2011-09-27||
US13/622,117|US8993072B2|2011-09-27|2012-09-18|Halogenated organoaminosilane precursors and methods for depositing films comprising same|
[返回顶部]